艾司摩爾 (ASML) 2024 Q1 法說會逐字稿

內容摘要

ASML 召開電話會議討論 2024 年第一季業績,強調了強勁的財務業績和訂單預訂。該公司預計內存收入將成長,並計劃繼續投資 EUV 系統。他們預計下半年會更加強勁,並正在為 2024 年行業復甦和 2025 年強勁發展做準備。

該公司對實現 2024 年的展望充滿信心,並專注於主流半導體和高數值孔徑技術。討論還包括電氣化對產業的影響、中國半導體市場以及客戶合作夥伴關係的重要性。

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Good day, and thank you for standing by. Welcome to the ASML 2024 First Quarter Financial Results Conference Call on April 17, 2024. (Operator Instructions) Please be advised that today's conference is being recorded.

    美好的一天,感謝您的支持。歡迎參加 2024 年 4 月 17 日舉行的 ASML 2024 年第一季財務業績電話會議。

  • I would now like to hand the conference call over to Mr. Skip Miller. Please go ahead.

    我現在想將電話會議交給斯基普·米勒先生。請繼續。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, operator. Welcome, everyone. This is Skip Miller, Vice President of Investor Relations at ASML. Joining me today on the call are ASML CEO, Peter Wennink; our CFO, Roger Dassen; and our Chief Business Officer and incoming CEO, Christophe Fouquet.

    謝謝你,接線生。歡迎大家。我是斯基普·米勒 (Skip Miller),ASML 投資者關係副總裁。今天與我一起參加電話會議的是 ASML 執行長 Peter Wennink;我們的財務長羅傑‧達森;以及我們的首席商務官兼即將上任的執行長 Christophe Fouquet。

  • The subject of today's call is ASML's 2024 first quarter results. The length of this call will be 60 minutes, and questions will be taken in the order that they are received. This call is also being broadcast live over the Internet at asml.com. A transcript of management's opening remarks and a replay of the call will be available on our website shortly following the conclusion of this call.

    今天電話會議的主題是 ASML 2024 年第一季業績。本次電話會議時長為 60 分鐘,問題將依照收到的順序回答。此次電話會議也透過網路 asml.com 進行現場直播。本次電話會議結束後不久,我們的網站上將提供管理層開場白和電話會議重播的記錄。

  • Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities laws. These forward-looking statements involve material risks and uncertainties.

    在我們開始之前,我想提醒聽眾,管理層在本次電話會議中發表的評論將包括聯邦證券法含義內的前瞻性陳述。這些前瞻性陳述涉及重大風險和不確定性。

  • For a discussion of risk factors, I encourage you to review the safe harbor statement contained in today's press release and presentation found on our website at asml.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

    對於風險因素的討論,我鼓勵您查看今天的新聞稿和演示文稿中包含的安全港聲明,該聲明可以在我們的網站asml.com 上找到,也可以在ASML 的20-F 表格年度報告以及向在美國證券交易委員會提交的其他文件中找到。

  • With that, I'd like to turn the call over to Peter Wennink for a brief introduction.

    說到這裡,我想將電話轉給 Peter Wennink,讓他做個簡短的介紹。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you, Skip. Welcome, everyone, and thank you for joining us for our first quarter 2024 results conference call.

    謝謝你,斯基普。歡迎大家,感謝您參加我們的 2024 年第一季業績電話會議。

  • Before we begin the Q&A session, Roger, Christophe and I would like to provide an overview and some commentary on the first quarter 2024 as well as provide our view of the coming quarters. And Roger will start with a review of our first quarter 2024 financial performance with added comments on our short-term outlook. And I will briefly reflect on the current market environment and then hand over to Christophe to complete the introduction with some additional comments on the future business outlook.

    在開始問答環節之前,羅傑、克里斯托夫和我想對 2024 年第一季進行概述和一些評論,並提供我們對未來幾季的看法。 Roger 將首先回顧我們 2024 年第一季的財務業績,並對我們的短期前景進行補充評論。我將簡要回顧當前的市場環境,然後交給 Christophe 來完成介紹,並對未來的業務前景進行一些補充評論。

  • Roger?

    羅傑?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Thank you, Peter, and welcome, everyone. I will first review the first quarter 2024 financial accomplishments and then provide guidance on the second quarter of 2024.

    謝謝你,彼得,歡迎大家。我將首先回顧 2024 年第一季的財務成就,然後提供 2024 年第二季的指導。

  • Let me start with our first quarter accomplishments. Total net sales came in at EUR 5.3 billion, at the midpoint of guidance. We shipped 12 EUV systems and recognized EUR 1.8 billion revenue from 11 systems this quarter. Net sales -- net system sales of EUR 4 billion, which was driven by Logic at 63%, with the remaining 37% coming from Memory. Installed Base Management sales for the quarter came in at EUR 1.3 billion as guided.

    讓我從我們第一季的成就開始。淨銷售額總額為 53 億歐元,處於指導價值的中位數。本季我們出貨了 12 個 EUV 系統,並從 11 個系統中確認了 18 億歐元的收入。淨銷售額-系統淨銷售額為 40 億歐元,其中 63% 由 Logic 推動,其餘 37% 來自記憶體。根據指導,本季已安裝基礎管理銷售額為 13 億歐元。

  • Gross margin for the quarter came in at 51%, which is above our guidance, primarily driven by product mix, more immersion and EUV systems and some one-offs.

    本季的毛利率為 51%,高於我們的指導,這主要是受到產品組合、更多沉浸式和 EUV 系統以及一些一次性產品的推動。

  • On operating expenses, R&D expenses came in at EUR 1.032 billion, and SG&A expenses came in at EUR 273 million, both slightly lower than guided due to a shift in spend to later in the year. Net income in Q1 was EUR 1.2 billion, representing 23.1% of total net sales and resulting in an EPS of EUR 3.11.

    在營運費用方面,研發費用為 10.32 億歐元,SG&A 費用為 2.73 億歐元,由於支出轉移到今年晚些時候,兩者均略低於指導值。第一季淨利為 12 億歐元,佔總淨銷售額的 23.1%,每股收益為 3.11 歐元。

  • Turning to the balance sheet. We ended the first quarter with cash, cash equivalents and short-term investments at a level of EUR 5.4 billion, which is lower than previous quarter. We ended Q1 with negative free cash flow, primarily driven by lower down payments and higher inventory relative to last quarter.

    轉向資產負債表。第一季結束時,我們的現金、現金等價物和短期投資為 54 億歐元,低於上一季。我們第一季末的自由現金流為負,這主要是由於與上季相比首付減少和庫存增加所致。

  • In the current environment, as customers work to return to profitability and strengthen cash position, we continue to provide some support for our customers. The higher inventory is a result of the increased material intake, including High-NA as part of planned capacity ramp in preparation for stronger demand next year.

    在當前環境下,隨著客戶努力恢復獲利並加強現金狀況,我們將繼續為客戶提供一些支援。庫存增加是由於材料攝取量增加所致,其中高NA是計劃產能提升的一部分,為明年的強勁需求做準備。

  • Moving to the order book. Q1 net system bookings came in at EUR 3.6 billion, which is made up of EUR 656 million for EUV bookings and EUR 2.9 billion for non-EUV bookings. Net system bookings in the quarter were driven by Memory at 59% and Logic for the remaining 41% of the bookings.

    轉到訂單簿。第一季淨系統預訂額為 36 億歐元,其中 EUV 預訂額為 6.56 億歐元,非 EUV 預訂額為 29 億歐元。本季的淨系統預訂量由記憶體驅動,佔預訂量的 59%,而邏輯預訂量則佔剩餘的 41%。

  • There is quite a bit of speculation around order numbers, so I will make a few comments here. In the past 6 months, we've had orders of almost EUR 13 billion, which is quite significant. As we said in the past, our order flow can be lumpy and may not be evenly distributed over the year.

    關於訂單號碼有很多猜測,所以我將在這裡發表一些評論。在過去的 6 個月裡,我們的訂單金額接近 130 億歐元,這是相當可觀的。正如我們過去所說,我們的訂單流可能是不穩定的,並且全年分佈可能不均勻。

  • Although we don't guide orders, an order rate a bit over EUR 4 billion per quarter for the final 3 quarters of the year would provide full order coverage at the end of 2024 for a 2025 sales number that would be at the midpoint of our 2022 Investor Day scenarios. At the end of Q1 2024, we finished with a backlog of around EUR 38 billion.

    儘管我們不指導訂單,但今年最後 3 個季度每季度略高於 40 億歐元的訂單率將在 2024 年底提供完整的訂單覆蓋率,而 2025 年的銷售數字將處於我們的中點2022 年投資者日情景。截至 2024 年第一季末,我們的積壓金額約為 380 億歐元。

  • With that, I would like to turn to our expectations for the second quarter of 2024. We expect Q2 total net sales to be between EUR 5.7 billion and EUR 6.2 billion. We expect our Q2 Installed Base Management sales to be around EUR 1.4 billion. The relatively low first half of the year compared to the expected strong second half is in line with the expected industry recovery from the downturn.

    接下來,我想談談我們對 2024 年第二季的預期。我們預計第二季安裝基數管理銷售額約為 14 億歐元。與預期強勁的下半年相比,上半年相對較低,符合業界從低迷中復甦的預期。

  • Gross margin for Q2 is expected to be between 50% and 51%. The expected R&D expenses for Q2 are around EUR 1.07 billion, and SG&A is expected to be around EUR 295 million. Our estimated 2024 annualized effective tax rate is expected to be between 16% and 17%. In Q1, ASML paid a quarterly interim dividend of EUR 1.45 per ordinary share.

    第二季毛利率預計在 50% 至 51% 之間。第二季的研發費用預計約為 10.7 億歐元,SG&A 預計約 2.95 億歐元。我們預計 2024 年年化有效稅率預計在 16% 至 17% 之間。第一季度,ASML 支付了每股普通股 1.45 歐元的季度中期股息。

  • Recognizing the 3 interim dividends of EUR 1.45 per ordinary share paid in 2023 and 2024, this leads to a final dividend proposal to the Annual General Meeting of EUR 1.75 per ordinary share, which will result in a total dividend for the year 2023 of EUR 6.10 per ordinary share, which is a 5.2% increase compared to 2022. In Q1 2024, we purchased around 0.5 million shares for a total amount of around EUR 400 million.

    確認 2023 年和 2024 年支付的 3 次中期股息為每股普通股 1.45 歐元,這將導致年度股東大會上提出最終股息為每股普通股 1.75 歐元,這將導致 2023 年的股息總額為 6.10 歐元每股普通股,與2022 年相比成長5.2%。

  • With that, I would like to turn the call back over to Peter.

    有了這個,我想把電話轉回給彼得。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you Roger. As Roger has highlighted, a relatively slow Q1 start to the year is consistent with our guidance and expectations coming out of a downturn. Overall, semiconductor industry -- sorry, overall semiconductor inventory levels continue to improve, trending towards more healthy levels. We also see continued improvements in lithography to utilization at both Logic and Memory customers, all in line with the industry's continued recovery from the downturn.

    謝謝羅傑。正如羅傑所強調的那樣,今年第一季相對緩慢的開局符合我們走出低迷時期的指導和預期。總體而言,半導體產業——抱歉,整體半導體庫存水準繼續改善,趨向更健康的水準。我們也看到邏輯和記憶體客戶的光刻利用率不斷提高,所有這些都符合產業從低迷中持續復甦的趨勢。

  • Looking at the market segments, we see a similar environment as communicated last quarter with demand momentum from AI-related applications. Memory demand is primarily driven by DRAM technology node transitions in support of advanced memories such as DDR5 and HBM. Logic customers continue to digest the significant capacity additions made over the last year -- over the past year.

    從細分市場來看,我們看到了與上季通報的類似環境,人工智慧相關應用的需求動能強勁。記憶體需求主要由支援 DDR5 和 HBM 等先進記憶體的 DRAM 技術節點轉變所驅動。 Logic 客戶繼續消化去年大幅增加的產能。

  • As many of you know, next week, April 24 is the General Meeting of Shareholders and my last effective working day at ASML. Although this is not a big surprise anymore, it's still a big event for me, Martin and our families. And it has been an enormous privilege to have been able to serve the company and its many stakeholders for so long.

    你們很多人都知道,下週,4 月 24 日是股東大會,也是我在 ASML 的最後一個有效工作日。雖然這不再是一個大驚喜,但對我、馬丁和我們的家人來說仍然是一件大事。能夠長期為公司及其眾多利害關係人提供服務,我感到非常榮幸。

  • I have thoroughly enjoyed virtually every moment of it and the many interactions I've had with many of you, including these conference calls, believe it or not. And I hope I will see some of you someday, sometime, and wish you all good health, a prosperous and happy life.

    不管你信不信,我非常享受幾乎每一刻以及與你們許多人的互動,包括這些電話會議。我希望有一天能見到你們中的一些人,祝你們身體健康,生活富裕幸福。

  • And with that, I'd like to turn it over to you, Christophe.

    就這樣,我想把它交給你,克里斯托夫。

  • Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

    Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

  • Thank you, Peter. And first of all, thank you for the last 10 years leading ASML and making it the great company we know today. I think some of our audience have been with you for the 40-plus quarters you led as CEO, but probably not many for the nearly 100 quarterly calls over your past 25 years in ASML.

    謝謝你,彼得。首先,感謝您在過去 10 年裡領導 ASML 並使之成為我們今天所知的偉大公司。我認為我們的一些聽眾在您擔任執行長的 40 多個季度中一直與您在一起,但在您過去 25 年在 ASML 工作的近 100 個季度電話會議中可能並不多。

  • I am sure everyone on the call will miss you as much as we all will at ASML. I am myself very honored and privileged to succeed Peter, and I'm very much looking forward to working with all of you.

    我相信參加電話會議的每個人都會像 ASML 的所有人一樣想念您。我自己非常榮幸能夠接替彼得,我非常期待與你們所有人合作。

  • As Peter mentioned, our view on the market segment for 2024 has not changed relative to what we stated last quarter. We expect Memory revenue growth this year, primarily driven by technology transition in support of advanced memory technology. We see lower Logic revenue this year relative to last year, as customers digest litho capacity installed over the past year.

    正如 Peter 所提到的,我們對 2024 年細分市場的看法相對於我們上季所說的話沒有改變。我們預計今年記憶體收入將成長,這主要是由支援先進記憶體技術的技術轉型所推動的。由於客戶消化了過去一年安裝的光刻產能,我們預計今年 Logic 的營收將低於去年。

  • Turning to our businesses. For EUV, we continue to expect revenue growth in 2024. We plan to recognize revenue on a similar number of EUV 0.33 NA system as 2023. In addition, we expect revenue from 1 to 2 High-NA systems.

    轉向我們的業務。對於 EUV,我們繼續預計 2024 年收入成長。

  • On our 0.33 NA system, we shipped the first NXE:3800E this quarter for qualification of the customer. The NXE:3800E has the capability to deliver significant increase in performance, with a productivity of 220 wafer per hour, which is a 37% increase over the NXE:3600D in its final configuration.

    在我們的 0.33 NA 系統上,我們本季交付了第一台 NXE:3800E,以進行客戶資格認證。 NXE:3800E 能夠顯著提高效能,每小時生產 220 片晶圓,比最終配置的 NXE:3600D 提高了 37%。

  • The NXE:3800E also brings imaging and overlay improvements, which will make it the future tool of choice for memory and logic advanced nodes. Those performance increases will deliver better value for our customers, including cost of ownership, and will translate into higher ASPs and improved margins for ASML.

    NXE:3800E 還帶來了成像和覆蓋改進,這將使其成為記憶體和邏輯高階節點未來的首選工具。這些效能的提升將為我們的客戶帶來更好的價值,包括擁有成本,並將轉化為更高的平均售價和更高的 ASML 利潤率。

  • EUV customer plan to transition to the NXE:3800E this year. As a result, the majority of our Low-NA EUV shipments in the second half of the year will be this system.

    EUV 客戶計劃今年過渡到 NXE:3800E。因此,我們下半年低數值孔徑 EUV 出貨量的大部分將是這個系統。

  • Regarding High-NA or 0.55 NA EUV, we shipped our first system to a customer, and this system is currently under installation. We started to ship the second system this month, and its installation is also about to start.

    關於 High-NA 或 0.55 NA EUV,我們將第一個系統交付給客戶,目前正在安裝中。我們本月開始出貨第二個系統,安裝也即將開始。

  • During the SPIE industry conference in February, we announced first light on our High-NA system located in our joint ASML IMEC High-NA lab in Veldhoven. We have since achieved first images with a new record resolution below 10 nanometer and expect to start exposing wafers in the coming weeks.

    在 2 月的 SPIE 產業會議期間,我們首次宣布了位於 Veldhoven 的 ASML IMEC High-NA 聯合實驗室中的 High-NA 系統的亮相。此後,我們首次獲得了分辨率低於 10 奈米的新記錄影像,並預計在未來幾週內開始曝光晶圓。

  • All High-NA customers will use the system for early access to process development. The customer interest for our system is high as this system will help both our Logic and Memory customers prepare for High-NA insertion into their road maps.

    所有 High-NA 客戶都將使用該系統儘早參與製程開發。客戶對我們系統的興趣很高,因為該系統將幫助我們的邏輯和記憶體客戶為將高數值孔徑插入他們的路線圖做好準備。

  • Relative to 0.33 NA, the 0.55 NA system provide finer resolution, enabling an almost 3x increase in transistor density at a similar productivity in support of SAP 2-nanometer logic and SAP 10-nanometer DRAM nodes.

    相對於 0.33 NA,0.55 NA 系統提供了更精細的分辨率,在相似的生產率下使電晶體密度增加了近 3 倍,以支援 SAP 2 奈米邏輯和 SAP 10 奈米 DRAM 節點。

  • We expect our non-EUV business to be down in 2024, primarily driven by lower emerging system sales relative to 2023. For our Installed Base business, based on our view today, we expect a similar level of revenue compared to last year. As the recovery becomes more clear this year, customer may look to upgrade their system in preparation for 2025, and this could provide future business opportunity this year.

    我們預計我們的非 EUV 業務將在 2024 年下降,主要是由於新興系統銷售額相對 2023 年下降。隨著今年的復甦變得更加明顯,客戶可能會考慮升級其係統,為 2025 年做好準備,這可能會在今年提供未來的商機。

  • Our outlook for the full year is unchanged with similar revenue compared to 2023. In line with the industry continued recovery from the downturn, we expect a stronger second half relative to the first half of the year. We view 2024 as a transition year and continue to make investments this year, both in capacity ramp and in technology to be ready for the upturn in the cycle.

    我們對全年的展望保持不變,收入與 2023 年相似。我們將 2024 年視為過渡年,今年將繼續在產能提升和技術方面進行投資,為週期的改善做好準備。

  • Looking longer term. While there are still significant uncertainties, primarily driven by the micro environment, it appears we are passing through the bottom of this specific cycle, and we expect an industry recovery over the course of 2024. Based on the discussion with our customers and supporting our strong backlog, we expect 2025 to be a strong year, driven by a number of factors as mentioned last quarter.

    著眼長遠。雖然仍然存在重大的不確定性(主要是由微觀環境驅動),但我們似乎正在經歷這個特定週期的底部,我們預計行業將在 2024 年復蘇。情況,我們預計2025 年將是強勁的一年,受到上季度提到的一系列因素的推動。

  • First, the secular growth driver in semiconductor end markets, which we have previously discussed, such as energy transition, electrification and AI. The expanding application space, along with increasing lithography and future technology nodes, drive demand for both advanced and mature nodes.

    首先,我們之前討論過的半導體終端市場的長期成長動力,例如能源轉型、電氣化和人工智慧。不斷擴大的應用空間,以及不斷增加的光刻和未來技術節點,推動了對先進和成熟節點的需求。

  • Second, the industry expects to be in the middle of a cyclical upturn in 2025. And last, as mentioned earlier, we need to prepare for the significant number of new fabs that are being built across the globe, in some instances, clearly supported by several government incentive plans. These fabs are spread geographically, are strategic for our customers and are scheduled to take our tool.

    其次,該產業預計2025 年將處於週期性改善。得到了政府的支持。這些晶圓廠分佈廣泛,對我們的客戶具有戰略意義,並計劃採用我們的工具。

  • It is essential that we keep our focus on the future and build capacity in preparation for further long-term growth, as we discussed in the market scenarios for 2025 and 2030 during our Investor Day in November 2022. We plan to update our view during our Investor Day this year on November 14, 2024.

    正如我們在2022 年11 月投資者日期間討論的2025 年和2030 年市場情景中所討論的那樣,我們必須關注未來並建立能力,為進一步的長期成長做好準備。日期間更新我們的觀點。

  • In summary, although there is near-term uncertainty, we remain confident in our long-term growth opportunity.

    總而言之,儘管近期存在不確定性,但我們對長期成長機會仍然充滿信心。

  • With that, we will be happy to take your questions.

    這樣,我們將很樂意回答您的問題。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, Roger, Peter and Christophe. The operator will instruct you momentarily on the protocol for the Q&A session. (Operator Instructions)

    謝謝羅傑、彼得和克里斯托夫。接線生將立即指導您了解問答環節的協議。 (操作員說明)

  • Also as the CEO transition is planned next week following the AGM on April 24, Roger and Christophe will take the majority of the questions as it pertains to the forward-looking comments.

    此外,由於計劃在 4 月 24 日年度股東大會後的下週進行執行長交接,羅傑和克里斯托夫將回答與前瞻性評論相關的大部分問題。

  • Now operator, could we take your final instructions and then the first question, please?

    現在,接線員,我們可以接受您的最後指示,然後回答第一個問題嗎?

  • Operator

    Operator

  • (Operator Instructions) And your first question comes from the line of Krish Sankar from TD Cowen.

    (操作員說明)您的第一個問題來自 TD Cowen 的 Krish Sankar。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • And Peter and, I guess, also for Martin as well, thanks for everything over the years. You both will be missed for sure.

    彼得,我想,還有馬丁,感謝這些年來所做的一切。一定會想念你們倆的。

  • And then I guess my first question is for Roger. I understand bookings can be lumpy, but the EUV orders also done quite a lot in the March [quarter]. And you said that you need to hit over EUR 4 billion run rate to hit the midpoint of calendar '25.

    然後我想我的第一個問題是問羅傑的。我知道預訂量可能會不穩定,但 EUV 訂單在 3 月[季度]也相當多。您說您需要達到超過 40 億歐元的運行率才能達到 25 年月曆的中點。

  • But I'm just kind of curious, there is an expectation that you should be better than midpoint of next (inaudible). Do you really need EUV orders to really meet those calendar '25 outlook? And where do you think that's going to come from mainly? Is it the foundry logic vertical? And also just along the same path, how much of your memory bookings was from China? And then I have a follow-up.

    但我只是有點好奇,預計你應該會比下一個的中點更好(聽不清楚)。您真的需要 EUV 訂單才能真正滿足 '25 日曆的展望嗎?您認為這主要來自哪裡?是垂直代工邏輯嗎?同樣,您的記憶預訂中有多少來自中國?然後我有一個後續行動。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • And a follow-up. Many questions in one question, Krish. Very well done. I'll try and answer them as best as I can. Yes, Krish, I think you're absolutely right in our conclusion that, indeed, order intake is very lumpy. And I think that's what we've seen in the past, and we have been saying that for many, many years. We said it last time when the orders came in very high. We say it today when -- from the vantage point of some, the orders come in pretty low.

    以及後續行動。克里什,一個問題中有很多問題。做得太好了。我會盡力回答他們。是的,克里什,我認為你的結論是絕對正確的,訂單量確實非常不穩定。我認為這就是我們過去所看到的,而且我們多年來一直這麼說。上次訂單非常多時我們就說過了。我們今天這麼說的時候——從某些人的角度來看,訂單量相當低。

  • And therefore, if you look at the past 6 months combined, you're looking at EUR 13 billion, which is EUR 6.5 billion per quarter, which we still continue -- we still believe it's pretty significant. When I talk about the EUR 4 billion that we need in order to get to the midpoint of next year, I'm indeed talking about everything.

    因此,如果你看過去 6 個月的總和,你會發現 130 億歐元,即每季 65 億歐元,我們仍在繼續——我們仍然認為這是相當可觀的。當我談到我們需要 40 億歐元才能達到明年中點時,我確實在談論一切。

  • So of course, that also includes EUV. And I think as many of you have probably recognized, if you look at the intake in the past couple of quarters and also in the past quarter, it's pretty clear that there's a few usual suspects absent in the order intake. And I think that's pretty clear, right?

    當然,這也包括 EUV。我想你們中的許多人可能已經認識到,如果你查看過去幾個季度以及過去一個季度的訂單量,很明顯,訂單量中缺少一些常見的嫌疑人。我認為這很清楚,對吧?

  • So if we look at the plans of some of our large customers, and you talk about foundry, foundry indeed does come to mind in this discussion. If you look at the plans and the announced plans of some of our larger customers, it's pretty clear that in the next couple of quarters, significant orders need to come in. So -- and part of the EUR 4 billion that you should see in order to get to this midpoint indeed have to include the orders from those customers.

    因此,如果我們看看一些大客戶的計劃,當您談論代工時,在這次討論中確實會想到代工。如果你看看我們一些大客戶的計劃和宣布的計劃,很明顯,在接下來的幾個季度中,需要大量訂單。必須包括這些客戶的訂單。

  • So again, the midpoint, does that mean that now all of a sudden, we're guiding midpoint? No, we're not. As Peter has very clearly said it on previous calls, if we look at 2025, we're looking at a significant uptick. We're not looking at the low end of the scenarios that we provided back in 2022. But we're not saying now you need to look at the midpoint of the guidance.

    再說一遍,中點,這是否意味著現在我們突然開始引導中點?沒有,我們沒有。正如彼得在之前的電話會議中非常明確地說的那樣,如果我們展望 2025 年,我們會看到一個顯著的上升。我們並不是在考慮 2022 年提供的情景的低端。

  • We just give you the math that is required in order to get to the midpoint of the guidance with our expectation of a very strong recovery into 2025 has by no means changed.

    我們只是向您提供達到指導中點所需的數學計算,我們對 2025 年經濟強勁復甦的預期絲毫沒有改變。

  • In terms of your questions on memory and particularly then on China, Krish, as you know, we typically will not disclose the geographic distribution of our order intake. Of course, there is, I would say, a healthy part in the order intake that is related to China. But it's not like that the order intake is distributed over the globe with a very high -- so the very high concentration that you saw in the sales for Q1, you don't see that back in the order intake. So the order intake is more distributed geographically than what you would see in the sales for Q1.

    至於你關於記憶的問題,特別是關於中國的問題,克里什,如你所知,我們通常不會透露我們訂單量的地理分佈。當然,我想說,訂單量中有很大一部分與中國有關。但這並不是說訂單量在全球範圍內的分佈非常高——所以你在第一季的銷售中看到的非常高的集中度,你在訂單量中看不到這一點。因此,訂單量的地理分佈比您在第一季的銷售額中看到的分佈更為分散。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • Got it, got it. And then just a very, very quick follow-up, and I appreciate it. Obviously, with all these incremental news coming on U.S. and Dutch rules and regulation, just curious, has that changed your view on what it means for your China sales? Three months ago, you said it's a 10% to 15% impact. Just want to see if there's any updated view on this.

    明白了,明白了。然後是非常非常快速的跟進,我很感激。顯然,隨著有關美國和荷蘭規則和監管的所有這些增量消息的出現,我很好奇,這是否改變了您對這對中國銷售意味著什麼的看法?三個月前,您說有 10% 到 15% 的影響。只是想看看是否有任何更新的觀點。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • No, Krish, nothing has changed that. I mean, when we talked about the 10% to 15%, I think that's even longer back when we made that comment. That was directly the consequence of the fact that we realized that this year, we will probably not get licenses to ship the latest generation of immersion. That was what that 10% to 15% comment was related to.

    不,克里什,一切都沒有改變。我的意思是,當我們談論 10% 到 15% 時,我認為我們發表評論時的時間甚至更長。這直接是因為我們意識到今年我們可能無法獲得發布最新一代沉浸式設備的許可證。這就是 10% 到 15% 的評論所涉及的內容。

  • Our perspective has not changed. The rules haven't changed. Of course, there is continued discussion on export controls. The rules haven't changed. Our perspective on the year hasn't changed. We're still looking at a strong sales level for China for this year.

    我們的觀點沒有改變。規則沒有改變。當然,關於出口管制的討論仍在繼續。規則沒有改變。我們對這一年的看法沒有改變。我們仍然預計今年中國的銷售水準將保持強勁。

  • Operator

    Operator

  • We will now go to the next question. And your next question comes from the line of Tammy Qiu from Berenberg.

    我們現在進入下一個問題。您的下一個問題來自貝倫貝格 (Berenberg) 的 Tammy Qiu。

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • So first one is on China, please. Can you talk about the China business trend over the recent quarter, please? Because China has been really strong, and there has been always concern that China may actually go to capacity by adjusting period in this year or later this year. Do you have any comment on China trends, please? Then I have a follow-up.

    第一個是關於中國的。您能談談最近一個季度中國的商業趨勢嗎?因為中國真的很強大,一直有人擔心中國可能會在今年或今年稍後透過調整期真正去產能。您對中國的趨勢有何評論?然後我有一個後續行動。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. I mean, China, relatively speaking, is high. But if you look at absolute numbers, you would recognize that China is actually lower in Q1 than it was in Q4 of last year, right? So -- because if you do the math, then China was at EUR 1.9 billion in this quarter. It was at EUR 2.2 billion last quarter.

    是的。我的意思是,相對而言,中國的水平很高。但如果你看絕對數字,你會發現中國第一季的實際水準低於去年第四季度,對嗎?所以——因為如果你算一下,那麼中國本季的營收為 19 億歐元。上季為 22 億歐元。

  • So I think from that vantage point, it's gone down a bit, but it's still strong. And the reason that China is strong, both in absolute terms and in relative terms, is also because the rest of the world, the demand is -- or at least the sales and the shipments in Q1 were relatively low, which was no surprise. I think it's very much in sync with our perspective on a market that is in recovery.

    所以我認為從這個角度來看,它有所下降,但仍然很強大。中國之所以強勁,無論是絕對值還是相對值,也是因為世界其他地區的需求——或者至少第一季的銷售和出貨量相對較低,這並不奇怪。我認為這與我們對正在復甦的市場的看法非常一致。

  • And market being in recovery means that customers are first driving up the utilization of their tools, which is exactly what they're doing, and we noticed that. And if the utilization comes to a certain point, then they will start -- they will order, and they will require shipment.

    市場正在復甦意味著客戶首先會提高工具的利用率,而這正是他們正在做的事情,我們注意到了這一點。如果利用率達到某個點,那麼他們就會開始訂購,並且需要出貨。

  • And that's exactly why we've also said on the call that we believe that we're going to see momentum building up in the course of this year with a much stronger second half, as Peter also just said, a much stronger second half than the first half.

    這正是為什麼我們還在電話會議上表示,我們相信今年下半年的勢頭會增強,下半年將強勁得多,正如彼得剛才所說,下半年將比去年強勁得多上半場。

  • So in terms of trends in China, strong first quarter for sure, but not a record quarter, but a strong quarter. We expect China to continue to be strong this year. And obviously, you also -- to the -- also, you have to see that in relation to the rest of the world that we believe is going to recover.

    因此,就中國的趨勢而言,第一季肯定會強勁,但不是創紀錄的季度,而是強勁的季度。我們預計中國今年將持續強勁。顯然,您還必須看到與我們相信將會復甦的世界其他地區有關的情況。

  • We've also said on previous calls, and I just want to reiterate that, that if you look at the demand for China, the demand in China continues to be strong. And that is related, as we've said before, to the fact that the demand for mature technology continues to be strong.

    我們在之前的電話會議中也說過,我只想重申,如果你看看中國的需求,中國的需求仍然強勁。正如我們之前所說,這與對成熟技術的需求持續強勁這一事實有關。

  • And I just point out what we said at the Capital Markets Day in 2022. Capital Markets Day 2022, we said we believe every single year between '22 and 2030, we believe 380,000 wafer starts and capacity needs to be added to mature. And if we look at what has happened last year, what has been added in terms of that, both in China and in the rest of the world, it's actually below that number.

    我只是指出我們在 2022 年資本市場日所說的話。如果我們看看去年發生的事情,無論是在中國還是在世界其他地區,增加的數量實際上都低於這個數字。

  • So yes, China is strong. And of course, it's not just mature, but mature is a very significant part of what China has added -- is adding. Yes, China is strong, but China is strong because they're adding capacity that we believe the world needs. And yes, as a result of this, China's share and global market share over the years become larger than it is today.

    所以,是的,中國很強大。當然,它不僅僅是成熟,而且成熟是中國所增加的、正在增加的一個非常重要的部分。是的,中國很強大,但中國之所以強大,是因為他們正在增加我們認為世界需要的產能。是的,正因為如此,多年來中國的份額和全球市場份額變得比今天更大。

  • Their self-sufficiency will increase in comparison to today. But we believe that what China is adding today in terms of mature capacity is rational and is in line with the -- with our expectation of what capacity and mature needs to be added in order to get to what the world needs in the second half of this decade.

    與今天相比,他們的自給自足能力將會提高。但我們認為,中國今天在成熟產能方面的增加是合理的,並且符合我們對需要增加哪些產能和成熟度才能滿足下半年世界需求的預期。

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • Okay. And I have a follow-up on the EUV and 2-nanometer, 3-nanometer area, please. So you are expecting some massive order from front-end logic customers. Can you share, is that for 3-nanometer or 2-nanometer? And what is the level of EUV kind of layer count between those 2?

    好的。我還有關於 EUV 和 2 奈米、3 奈米區域的後續報導。因此,您預計前端邏輯客戶會收到大量訂單。可以分享一下嗎,是3奈米還是2奈米?這兩者之間的 EUV 層數水準是多少?

  • Because I do understand that, potentially, you can have some usage. So that may impact some 2-nanometer incremental demand because some 3-nanometer can be migrated or reused for 2.

    因為我確實明白,您可能會有一些用途。因此,這可能會影響一些 2 奈米增量需求,因為一些 3 奈米可以遷移或重複用於 2 奈米。

  • Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

    Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

  • Yes. So this is Christophe here. So maybe on your first question, so I think we mentioned a few times now that customers were still -- logic customer, foundry customers were still digesting. So some of the capacity they had put in place, and we were then referring to 3- and 5-nanometer.

    是的。這是克里斯托夫。所以也許關於你的第一個問題,所以我想我們已經提到過幾次了,客戶仍然是——邏輯客戶,代工廠客戶仍在消化。他們已經具備了一些能力,然後我們指的是 3 奈米和 5 奈米。

  • When we look for the 2-nanometer capacity still have to come. And I think, as you mostly are aware of, we expect the ramp for that technology to start sometime next year. So I think this will be the next most probably wave of EUV order.

    當我們尋找2奈米的能力時,還是要來的。我認為,正如你們大多數人都知道的那樣,我們預計該技術的推廣將在明年某個時候開始。所以我認為這將是下一波最有可能的 EUV 訂單。

  • And this is also back to the comment Roger made in answer to the first question. So we are going to now focus when it comes to EUV and logic foundry mostly to 2-nanometer order intake, which, as Roger said, should come in the next few months.

    這也回到了羅傑在回答第一個問題時所做的評論。因此,我們現在將重點放在 EUV 和邏輯代工廠,主要關注 2 奈米訂單的接收,正如 Roger 所說,這應該在未來幾個月內完成。

  • On the number of players, so no change there. I think we mentioned in the past that the layer -- EUV layer for 2-nanometer is very similar to what we had on 3-nanometer because 2-nanometer is mostly a device transition. As you know, most customer, logic foundry customer will transition to gate-all-around, which is, I would say, quite a complex move.

    就玩家數量而言,沒有任何變化。我想我們過去提到過,2 奈米的 EUV 層與 3 奈米的非常相似,因為 2 奈米主要是一個裝置過渡。如你所知,大多數客戶、邏輯代工廠客戶將過渡到全柵,我想說,這是一個相當複雜的舉動。

  • And as a result, the focus of the change is on that. So all the expectation we have in terms of EUV layer on 2-nanometer are not different from the one we have shared with you for quite a few months already now.

    因此,變革的重點就在於此。因此,我們對 2 奈米 EUV 層的所有期望與我們幾個月來與您分享的期望沒有什麼不同。

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • Okay. Thank you, Christophe. Peter, happy retirement, and thanks for being with us over the past 10 years.

    好的。謝謝你,克里斯托夫。彼得,退休快樂,感謝您在過去 10 年與我們同在。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • You're welcome. Thank you.

    不客氣。謝謝。

  • Operator

    Operator

  • We will now take the next question. And your next question comes from the line of Joe Quatrochi from Wells Fargo.

    我們現在回答下一個問題。您的下一個問題來自富國銀行的 Joe Quatrochi。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • I was curious, as you think about the order book and you think about filling out 2025, the company has been very specific about prebuilding Low-NA tools over the course of this year and into next year. Has that changed the way that your customers are thinking about their order cadence?

    我很好奇,當你考慮訂單簿並考慮填寫 2025 年時,該公司一直非常具體地在今年和明年預先建立低NA 工具。這是否改變了您的客戶考慮訂單節奏的方式?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Well, I mean, that would be a bit opportunistic on their side. And I like to think of the relationship that we have with our customers as much more one of partnership than one of transactional behaviors. So no, I don't think that necessarily has an impact.

    嗯,我的意思是,這對他們來說有點機會主義。我喜歡將我們與客戶的關係視為一種夥伴關係,而不是一種交易行為。所以不,我認為不一定有影響。

  • Of course, we said in previous calls, in order to get as many -- create as many degrees of flexibility that we have for next year that we will do some prebuilding. But of course, we do that in very close interaction with customers, understanding what they need.

    當然,我們在之前的電話會議中說過,為了為明年創造盡可能多的靈活性,我們將進行一些預建。當然,我們是透過與客戶非常密切的互動來做到這一點,並了解他們的需求。

  • And I think that's a big distinction that I think you need to draw. I mean, on the one hand, we're having very intense interactions with customers to understand what they need, and then you have PO. And actually, the PO process, as you probably will appreciate, given the amounts that we're talking about these days are pretty, call it, bureaucratic and formal processes where there is a lot of governance necessary in order to get there. That gets you to the lumpiness.

    我認為這是一個很大的區別,我認為你需要區分。我的意思是,一方面,我們與客戶進行非常密切的互動,以了解他們的需求,然後你就有了採購訂單。事實上,正如您可能會意識到的那樣,鑑於我們現在談論的金額相當可觀,採購訂單流程是官僚和正式的流程,為了實現這一目標,需要進行大量的治理。這會讓你變得笨拙。

  • But in the meantime, we have a pretty good understanding based on our interactions with customers what they really need. So that's I think -- it's the interaction with the customers. It's the comfort that we get based on those conversations that ultimately drives our plans for the year. That ultimately drives our plans for prebuilding much more so than whether or not an order is going to be received or not.

    但同時,根據與客戶的互動,我們對他們的真正需求有了很好的了解。我認為這就是與客戶的互動。我們從這些對話中獲得的安慰最終推動了我們今年的計劃。這最終比是否會收到訂單更能推動我們的預建計劃。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. And perhaps speaking from experience over the last 25 years, we are a transparent company. So it also means that we will build inventory or work to prepare because our lead times are just so long. And we inform you, as our shareholders, on this. And of course, customers hear this, and then we're in the midst of negotiations on final orders and, let's say, on a commercial basis, which, of course, if you put the 2 things together, it might be that those orders take a little bit longer, which is quite normal.

    是的。也許從過去 25 年的經驗來看,我們是一家透明的公司。因此,這也意味著我們將建立庫存或做好準備工作,因為我們的交貨時間太長了。我們將此通知作為我們股東的您。當然,客戶聽到了這一點,然後我們正在就最終訂單進行談判,比如說,在商業基礎上,當然,如果你把這兩件事放在一起,這些訂單可能是需要更長的時間,這是很正常的。

  • So I think this is what we've seen before, and we've seen it again. But again, as Roger said earlier, if you believe 2025, and you know that if you want to buy an EUV tool, there's only one phone number that you can actually dial, then this will happen. But this is just where we are in terms of our customers knowing that we're building because we want to make sure that we can ship to them. And we have long lead times, and their commercial efforts on the POs that will come.

    所以我認為這是我們以前見過的,而且我們已經再次看到過。但同樣,正如 Roger 之前所說,如果你相信 2025 年,而且你知道如果你想購買 EUV 工具,你實際上只能撥打一個電話號碼,那麼這就會發生。但這正是我們的客戶知道我們正在建造的地方,因為我們希望確保我們可以將其運送給他們。我們的交貨時間很長,他們對即將到來的採購訂單的商業努力也很長。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • That's helpful color. As a follow-up, just on the memory orders that you're seeing, I'm just curious, is that still more predicated on HBM building out capacity? Or have you maybe seen some green shoots for, call it, more the conventional DRAM demand?

    這是有用的顏色。作為後續行動,就您所看到的記憶體訂單而言,我只是很好奇,這是否更依賴 HBM 容量建設?或者您是否看到了傳統 DRAM 需求的一些萌芽?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • No. I think the lion's share of the orders that we saw in Memory in the last quarter really are still technology related, right? So it's DDR5. It's HBM. That's what most of the orders that we saw are related to that.

    不。所以它是DDR5。這是HBM。這就是我們看到的大多數訂單都與此相關的內容。

  • Operator

    Operator

  • We will now go to the next question. And your next question comes from the line of Francois Bouvignies from UBS.

    我們現在進入下一個問題。您的下一個問題來自瑞銀集團 (UBS) 的 Francois Bouvignies。

  • Francois-Xavier Bouvignies - Technology Analyst

    Francois-Xavier Bouvignies - Technology Analyst

  • I mean, first of all, Peter, thank you a lot. You will be missed definitely in the investor community, and thank you for the dialogue. That was very helpful, and I look forward to work with as well more with Christophe.

    我的意思是,首先,彼得,非常感謝你。投資者社群肯定會想念您,感謝您的對話。這非常有幫助,我期待與 Christophe 進行更多合作。

  • So the first question I had is a bit on the lead times. So you mentioned that the buildup of inventory doesn't impact so much the lead times if I tried to read correctly. And when you said last quarter, you said that you have 12 months or more than 12 months lead time now on EUV.

    所以我的第一個問題是關於交貨時間的。所以你提到,如果我嘗試正確閱讀的話,庫存的累積不會對交貨時間造成太大影響。當您提到上個季度時,您說您現在在 EUV 上有 12 個月或超過 12 個月的交貨時間。

  • Now when you look at 2025 targets or ranges, you need to have 70 more EUV tools to get to this mid- to high end. And according to my calculation, have around 30 EUV tools in the backlog for next year. So -- which means that you would need more than 40 EUV tools still to satisfy your revenues for 2025.

    現在,當您考慮 2025 年的目標或範圍時,您需要再擁有 70 個 EUV 工具才能達到這個中高階。根據我的計算,明年有大約 30 個 EUV 工具積壓。因此,這意味著您仍需要 40 多種 EUV 工具才能滿足 2025 年的收入需求。

  • So I'm just surprised the amount of EUV tools you need and with the 12 months more lead times, I would think it's a very dangerous game for your customers to wait into the last moment. So can you maybe help us clarify the fact that you probably need orders significantly in a short period of time and reconcile with the fact that you said in the next 3 quarters, you need more than EUR 4 billion? But I mean, it's going to be too late if it's Q3 or Q4 for EUV to get it delivered in '25, if you see what I mean.

    因此,我只是對您需要的 EUV 工具數量感到驚訝,而且交貨時間還多了 12 個月,我認為對於您的客戶來說,等到最後一刻是非常危險的遊戲。那麼,您能否幫助我們澄清您可能在短時間內需要大量訂單的事實,並與您所說的在未來 3 個季度內需要超過 40 億歐元的事實相一致?但我的意思是,如果你明白我的意思的話,如果 EUV 在 25 年第三季或第四季交付,那就太晚了。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. Francois, but it's a slightly different question, but essentially, the question that we were just looking at, right? It's the same question that Joe was said -- that Joe was raising to a large extent. And I can just reiterate what I said there.

    是的。弗朗索瓦,但這是一個略有不同的問題,但本質上是我們剛剛關注的問題,對嗎?這與喬所說的問題是一樣的——喬在很大程度上提出了這個問題。我可以重申我在那裡所說的話。

  • Of course, with customers, we have an ongoing dialogue on what they need. And then Peter said it, then there is a bureaucratic process, and there is some negotiation going on that will ultimately lead to the order being made and being translated into a PO that, as you also know these days, comes with the obligation to pay money. And that's probably part of the reason why customers -- why some customers are postponing the placement of the order a little bit as well.

    當然,我們會與客戶持續對話,了解他們的需求。然後彼得說,然後有一個官僚程序,並且正在進行一些談判,最終將導致訂單被下達並被翻譯成採購訂單,正如您現在也知道的那樣,採購訂單附帶付款義務錢。這可能是客戶延遲下訂單的部分原因。

  • But the reality is that we know quite well what customers want and customers know it as well. So it's just a matter of those 2 worlds coming together and then ultimately needing to appeal.

    但現實是,我們非常了解客戶想要什麼,客戶也知道這一點。所以這只是這兩個世界結合在一起然後最終需要吸引人的問題。

  • Is it a dangerous game on their side? Is it a dangerous game on our side? I don't think so. I mean, as long as we have a very open dialogue with one another, I'm pretty sure that in the foreseeable future, you will see the translation of what we know is from demand into orders. I'm quite confident with that.

    對他們來說這是一場危險的比賽嗎?對我們來說這是一場危險的遊戲嗎?我不這麼認為。我的意思是,只要我們彼此進行非常開放的對話,我很確定在可預測的未來,您將看到我們所知道的從需求到訂單的轉換。對此我很有信心。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. And if you believe the 2025 number, which we do, then it's a very high level of mutual dependency here. So that is why the game is not that dangerous. We also need each other.

    是的。如果你相信 2025 年的數字(我們也相信),那麼這裡的相互依賴程度就非常高。這就是為什麼這個遊戲不那麼危險。我們也需要彼此。

  • Francois-Xavier Bouvignies - Technology Analyst

    Francois-Xavier Bouvignies - Technology Analyst

  • Makes sense. And maybe my follow-up is on High-NA. I mean, you see -- we saw a couple of milestone in the last few quarters. Christophe, you talked about the productivity -- I mean, the density improvement.

    說得通。也許我的後續作品是 High-NA。我的意思是,你看,我們在過去幾個季度看到了幾個里程碑。 Christophe,你談到了生產力——我的意思是密度的提升。

  • When we think about the lead times for High-NA, what is it today? And if we assume mass production in, let's say, '26, '27, should we expect some uptick in terms of orders for this maybe in the next few quarters? And what's the key milestone you are still waiting for from a product point of view, technical point of view to drive more adoption?

    當我們考慮 High-NA 的交貨時間時,今天是多少?如果我們假設在 26 年、27 年進行大規模生產,我們是否應該預期未來幾季的訂單量會增加?從產品和技術角度來看,您仍在等待的關鍵里程碑是什麼,以推動更多採用?

  • Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

    Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

  • Well, so I think a few key milestones. So the first one, I think we've been talking about it for a few quarter is the fact that customers have been committing to EUV High-NA with double-digit basically units in our backlog. And they have done that without even seeing one image from the tool. So I think this shows a bit the level of commitment and trust they have in our ability to bring new technology.

    嗯,我認為有幾個關鍵的里程碑。因此,我認為我們已經討論了幾個季度的第一個問題是,客戶一直致力於 EUV High-NA,我們的積壓訂單中基本上有兩位數的單位。他們甚至沒有看到該工具中的一張圖像就做到了這一點。所以我認為這在一定程度上表明了他們對我們帶來新技術的能力的承諾和信任程度。

  • Now I mentioned the first image, 10-nanometer -- less than 10-nanometer resolution image. This is a huge milestone for both our customer and ASML because this single image prove that the technology we have been developing for many, many years is working. And you cannot imagine how welcome that milestone was by both our customers and ourselves. That's very, very important.

    現在我提到了第一張圖像,10 奈米——小於 10 奈米分辨率的圖像。這對我們的客戶和 ASML 來說都是一個巨大的里程碑,因為這張圖片證明了我們多年來開發的技術正在發揮作用。您無法想像這一里程碑受到我們的客戶和我們自己的歡迎。這非常非常重要。

  • Now what's going to happen next is since we can soon expose wafer, every customer are going to come to see us here to get access to the tool we have in our lab and start to expose their own reticle, so that they can decide for themselves exactly how to use the tool. And we expect that this work will lead, at some point to, I would say, the next set of decision on High-NA.

    現在接下來會發生的是,由於我們很快就可以曝光晶圓,每個客戶都會來這裡見我們,以使用我們實驗室中擁有的工具並開始曝光他們自己的掩模版,以便他們可以自己決定具體如何使用該工具。我想說,我們預計這項工作將在某個時候導致關於高數值孔徑的下一組決定。

  • So what's happened in the lab in the next few months, the work we'll be doing with all our customers, and I stress again all our customer, I think, is most probably the most exciting milestone to come. And this will really help one to understand basically what's next for High-NA.

    因此,接下來幾個月實驗室中發生的事情,我們將與所有客戶一起進行的工作,我想再次強調我們所有的客戶,很可能是即將到來的最令人興奮的里程碑。這確實有助於人們基本上了解 High-NA 的下一步發展。

  • But I cannot stress enough on, I would say, how happy and excited that we've been able to generate those first images. When you look at the undertaking of a technology like High-NA, for us, for our customers, this is a very, very important achievement. And again, the next few months, we'll build on that.

    但我想說的是,我們能夠產生第一批圖像是多麼高興和興奮。當你看到像 High-NA 這樣的技術時,對我們、對我們的客戶來說,這是一項非常非常重要的成就。在接下來的幾個月裡,我們將再次以此為基礎。

  • Operator

    Operator

  • We will now go to the next question. And your next question comes from the line of C.J. Muse from Cantor Fitzgerald.

    我們現在進入下一個問題。你的下一個問題來自 Cantor Fitzgerald 的 C.J. Muse。

  • Christopher James Muse - Senior MD & Semiconductor Research Analyst

    Christopher James Muse - Senior MD & Semiconductor Research Analyst

  • And Peter, big congrats. Pleasure working with you. And maybe if I could direct the last question for you here at ASML given your long experience.

    還有彼得,恭喜你。很高興與您合作。鑑於您長期的經驗,也許我可以在 ASML 向您提出最後一個問題。

  • As you look at the strong secular trends led by high-performance compute, historically, at the leading edge, it was led by Apple and Huawei, and then only Apple post the embargo.

    當你觀察高效能運算引領的強勁長期趨勢時,從歷史上看,處於領先地位的是蘋果和華為,然後只有蘋果發布了禁運。

  • But now given the performance power, cost requirement, how are you seeing kind of the trends on the high-performance compute side moving closer and closer to kind of being the pipe cleaner for the bleeding edge? And how does that impact your thinking of what kind of longer-term growth for leading-edge wafers will look like?

    但現在考慮到性能能力和成本要求,您如何看待高效能運算方面的趨勢越來越接近尖端的管道清潔劑?這對您對前沿晶圓的長期成長的看法有何影響?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, I think it's two questions. I'll answer the second one. You're basically saying what will drive leading-edge, high-performance compute. But you're absolutely right. I mean, when you think about high-performance compute, and especially in the context of AI, and I've said this many, many times before, AI is driven by massive amounts of data and about also understanding the correlation between those data elements and then overlaying that with smart software.

    嗯,我認為這是兩個問題。我來回答第二個。您基本上是在說什麼將推動領先的高效能運算。但你是絕對正確的。我的意思是,當你考慮高效能運算時,尤其是在人工智慧的背景下,我之前已經說過很多次了,人工智慧是由大量數據驅動的,也需要理解這些數據元素之間的相關性然後用智能軟體覆蓋它。

  • But -- and I also believe, it's actually what I'm seeing and what I'm hearing is that IoT in the industrial space will actually be in -- will be an area where we will see a lot of AI applications.

    但是,我也相信,這實際上是我所看到和聽到的,工業領域的物聯網實際上將是一個我們將看到大量人工智慧應用的領域。

  • Well, in order to collect all that data, you need sensors because you've got all kinds of examples, whether it's the car or whether it's life science, medical equipment, it's about sensing, and that is really the domain of mainstream semiconductors.

    嗯,為了收集所有這些數據,你需要感測器,因為你有各種各樣的例子,無論是汽車還是生命科學、醫療設備,它都與感測有關,而這確實是主流半導體的領域。

  • I don't like the word mature. Like it's something which is old fashioned that you don't need it. It is mainstream, and it's critical in the -- I would say, in the, I would call it, amalgamation of mainstream semiconductors and, I would call, advanced semiconductors.

    我不喜歡成熟這個詞。就像它是一種老式的東西,你不需要它一樣。它是主流,對於我所說的主流半導體和先進半導體的融合至關重要。

  • And this is also why you cannot distinguish the growth of one against the other. I mean, you need both. And I think what will -- what you will see is that the growth of the industry, and especially high performance compute, will be driven by the value that is going to be created.

    這也是為什麼你無法區分一個人的成長與另一個人的成長的原因。我的意思是,你兩者都需要。我認為你將看到的是,產業的成長,尤其是高效能運算,將由將要創造的價值驅動。

  • Yes. Cost is a significant issue, but Moore's law is a vehicle of economics. And when you create more value of the transistor, the new transistor, the next-generation transistor then -- at cost, then you're going to grow. And when you think about AI, I mean, some of these examples, and especially in the software space where you see productivity, just the calculated productivity advantages of 30% to 50%, then the value of the next-generation transistor will be huge.

    是的。成本是一個重要問題,但摩爾定律是經濟學的工具。當你以成本創造電晶體、新電晶體、下一代電晶體的更多價值時,你就會成長。當你想到人工智慧時,我的意思是,其中一些例子,特別是在你看到生產力的軟體領域,只計算出 30% 到 50% 的生產力優勢,那麼下一代電晶體的價值將是巨大的。

  • Now if you ask me, "Peter, what's going to be the next killer application?" I'm going to give you the same answer as I gave you over the last 25 years, and it's, "I have no clue." But what I do know is that when the value of that next-generation transistor whether it's -- and it's particularly driven by high-performance compute, it's energy-efficient performance.

    現在,如果你問我:“彼得,下一個殺手級應用程式是什麼?”我要給你的答案和我過去 25 年給你的答案一樣,那就是:“我不知道。”但我所知道的是,當下一代電晶體的價值尤其是由高效能運算驅動時,它就是節能效能。

  • If we bring that to life, and we'll bring it to life together with our customers because we have High-NA, and potentially Hyper-NA, then the, I would say, amalgamated consolidated demand for also mainstream semiconductors will go up.

    如果我們將其變為現實,並且我們將與客戶一起將其變為現實,因為我們擁有 High-NA,甚至可能是 Hyper-NA,那麼我想說,對主流半導體的綜合需求將會上升。

  • So this is what I believe, and this is what I see when I talk to customers. And I talk to actually users of the value in the space. And this is why I'm so confident about the long-term future. And this is all connected. So you cannot distinguish the two.

    這就是我所相信的,這也是我在與客戶交談時所看到的。我與實際用戶討論了該領域的價值。這就是為什麼我對長期未來如此充滿信心。而這一切都是相互關聯的。所以你無法區分兩者。

  • Christopher James Muse - Senior MD & Semiconductor Research Analyst

    Christopher James Muse - Senior MD & Semiconductor Research Analyst

  • Very helpful. And Roger, a follow-up question on your backlog comment around the EUR 4 billion plus required to hit the midpoint over the next 3 quarters. I guess, as part of that, if you had to think about the higher end of the range, I think that would add 2 plus per quarter.

    很有幫助。羅傑,關於您關於在未來 3 個季度達到中點所需的 40 億歐元以上積壓訂單評論的後續問題。我想,作為其中的一部分,如果你必須考慮範圍的高端,我認為每季會增加 2 以上。

  • And then also, if I look at your backlog today, excluding High-NA, it's still sitting at roughly 18 months. And so, obviously, I would expect your backlog exiting '24 to have tools that will be shipped in '26. So is EUR 4 billion the right number we should be thinking about for the next 3 quarters? Or should be -- should it be significantly higher?

    另外,如果我今天看看你們的積壓訂單,不包括 High-NA,它仍然大約有 18 個月。因此,顯然,我預計您 24 年退出的積壓工作將包含將在 26 年發貨的工具。那麼 40 億歐元是我們未來 3 季應該考慮的正確數字嗎?或者應該是──應該明顯更高嗎?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • So if you're looking at the midpoint, it is EUR 4 billion, and you shouldn't underestimate what we've already, in that calculation, taken out for the post-'25 period. And I'm sure you're all going to do the math, right, because it's not all that difficult to do the math.

    因此,如果你看中點,那就是 40 億歐元,你不應該低估我們在計算中已經為 25 年後期扣除的金額。我相信你們都會做數學,對吧,因為做數學並不是那麼困難。

  • And then you're probably going to figure out that, that's a pretty healthy number and probably a number that maybe exceeds a little bit what you currently have in your models in terms of High-NA, and that's okay. But that's a calculation that I'll leave up to you to make.

    然後您可能會發現,這是一個非常健康的數字,並且可能會超出您當前模型中高數值孔徑的數字,這沒關係。但這是我留給你的計算。

  • But that has clearly been recognized in our calculation. So we've taken out whatever is for '25, and our focus of the EUR 4 billion really is what pertains to 2025.

    但我們的計算中顯然已經認識到了這一點。因此,我們已經取消了 25 年的計劃,而我們 40 億歐元的重點實際上是 2025 年的計劃。

  • And to your question, C.J., if you're looking at the high end of the range, there's a EUR 5 billion delta last I saw between 35 and 40. And 5 divided by 3 gets you to 1.7, right? So that's it. So then there would be 1.7 needed more in order to get to the high end of the -- of that bandwidth at the beginning of the year.

    對於你的問題,C.J.,如果你看的是這個範圍的高端,我上次看到的 35 到 40 之間有 50 億歐元的增量。就是這樣了。因此,為了在年初達到該頻寬的高端,還需要 1.7 個頻寬。

  • Operator

    Operator

  • We'll now go to the next question. And your next question comes from the line of Alexander Duval from Goldman Sachs.

    我們現在進入下一個問題。你的下一個問題來自高盛的亞歷山大·杜瓦爾。

  • Alexander Duval - Head of Europe Tech Hardware, Semiconductors & Video Games Research

    Alexander Duval - Head of Europe Tech Hardware, Semiconductors & Video Games Research

  • Many thanks, Peter, for everything over the years. I have one quick question and then a follow-up. The first is on China services. We've seen a number of these articles talking about U.S. government asking the Dutch government to prevent servicing of certain aspects of the installed base in China. I had a lot of investor questions on this.

    非常感謝,彼得,這些年來所做的一切。我有一個簡短的問題,然後是一個後續問題。第一個是中國服務。我們已經看到許多此類文章談論美國政府要求荷蘭政府阻止對中國安裝基地的某些方面進行維修。我對此有很多投資者的問題。

  • I just wondered if you could provide any perspective on potential implications. Given especially that tools don't work without services, is it fair to assume any ban would likely not encompass all China services revenues?

    我只是想知道您是否可以就潛在影響提供任何觀點。特別是考慮到工具在沒有服務的情況下無法運行,假設任何禁令可能不會涵蓋所有中國服務收入是否公平?

  • And secondly, on electrification, you mentioned electrification could be a potential driver of litho demand. We've seen a number of news articles talking about the need for technology to help deal with strong EV-driven power needs in the grid as well as AI server-driven demand. So wondered if you could provide some context on what this means for longer-term litho demand.

    其次,關於電氣化,您提到電氣化可能是光刻需求的潛在驅動力。我們已經看到許多新聞文章談論需要技術來幫助應對電網中電動車驅動的強勁電力需求以及人工智慧伺服器驅動的需求。所以想知道您是否可以提供一些背景信息,說明這對長期光刻需求意味著什麼。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think on the China services, yes, we're probably reading the same articles. So yes, that has been a discussion between the 2 governments, and let it be a discussion between the 2 governments.

    是的。我認為在中國服務方面,是的,我們可能正在閱讀相同的文章。所以,是的,這是兩國政府之間的討論,讓它成為兩國政府之間的討論。

  • I mean, of course, we are providing input. We're providing input of the size of the -- and the type of services. And I think it's all being taken into consideration to determine in the end what the real problem is.

    我的意思是,我們當然正在提供意見。我們正在提供有關服務規模和類型的資訊。我認為所有這些都被考慮在內,以確定最終真正的問題是什麼。

  • And I think that is something which governments will need to discuss because, probably, it's all going to be in their discussion on what they call the national security interest. So we just provide them with the information. And I think, currently, we have -- there's nothing that stops us servicing the installed base in China today.

    我認為這是政府需要討論的事情,因為很可能,這一切都將在他們所謂的國家安全利益的討論中進行。所以我們只是向他們提供資訊。我認為,目前,沒有什麼可以阻止我們為中國的安裝基地提供服務。

  • On the electrification, I think we've said this many times when we talked about the growth of the industry, and it also refers back to the question that C.J. asked, yes, the grid, the electrification, the builder of the grid, the investments in renewable energy and the investments in a smart grid will be a significant driver, is a significant driver for mainstream semiconductors.

    關於電氣化,我想我們在談論行業發展時已經說過很多次了,這也回指了C.J.提出的問題,是的,電網、電氣化、電網的建設者、對可再生能源的投資和對智能電網的投資將是重要的驅動力,也是主流半導體的重要驅動力。

  • So if that is indeed true, electrification, when you think about the vehicle, is also about mainstream semiconductors and advanced semiconductors. So yes, I mean, this is not a requirement or a request of one part of an industry. It's all connected.

    因此,如果這是真的,那麼當你想到汽車時,電氣化也涉及主流半導體和先進半導體。所以,是的,我的意思是,這不是行業某一部分的要求或要求。一切都是相連的。

  • So you are indeed correct. I think this is what people now start to realize that if we want to invest in renewable energy, that -- take the Netherlands for -- as a particular example, there's a significant investment in solar panels and in wind, which actually means that we need to upgrade the grid. And the grid needs to be smart because there's not going to be a constant supply of those electrons. So that needs to be managed.

    所以你確實是正確的。我認為這就是人們現在開始意識到的,如果我們想投資再生能源,以荷蘭為例,對太陽能板和風能進行大量投資,這實際上意味著我們需要升級網格。電網需要變得智能,因為這些電子不會持續供應。所以這需要管理。

  • So it's a complete overhaul of directive grid needed. Yes, that's absolutely true. And this is why we need more mainstream semiconductors. And we need a lot more of them. And Roger pointed out, we said at the Capital Markets Day 2022, 380,000 wafer starts per year need to be added.

    因此,需要對指令網格進行徹底改革。是的,這絕對是真的。這就是為什麼我們需要更多主流半導體。我們還需要更多這樣的人。 Roger指出,我們在2022年資本市場日說過,每年需要增加38萬個晶圓啟動。

  • Well, we didn't do that last year. We were lower than that. So we're running behind our own model. So I'm happy that around the world, investments in mainstream semiconductors take place because we need them.

    嗯,去年我們沒有這樣做。我們比那低。所以我們正在遵循我們自己的模式。因此,我很高興世界各地都對主流半導體進行投資,因為我們需要它們。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • And Alexander, it's everywhere. So it's in the generation of power, as Peter just said, solar panels, wind. It's in the distribution, the net. It's in the storage because that's another one, right? You need the electricity at a point in time which is not being generated, and it's in the usage, like the EVs. So it's everywhere. And therefore, this was a very significant part, as Peter just said, of the 380,000 that we talked about.

    還有亞歷山大,它無所不在。正如彼得剛才所說,它是在發電方面,太陽能板,風能。它在分發中,在網路中。它在存儲中,因為那是另一個,對嗎?你需要在某個時間點提供電力,而該時間點尚未產生電力,而電力正在使用中,就像電動車一樣。所以它無所不在。因此,正如彼得剛才所說,這是我們談到的 38 萬人中非常重要的一部分。

  • Of course, we'll review this again when November comes. But I think the world is clearly appreciating a little bit better by now how significant the electrification is and what it does for the demand for mature chips.

    當然,我們會在 11 月到來時再次回顧這一點。但我認為,到目前為止,世界顯然已經更好地認識到電氣化的重要性以及它對成熟晶片需求的影響。

  • Operator

    Operator

  • We will now go to the next question. And your next question comes from the line of Chris Caso from Wolfe Research.

    我們現在進入下一個問題。你的下一個問題來自沃爾夫研究中心的克里斯·卡索(Chris Caso)。

  • Christopher Caso - MD

    Christopher Caso - MD

  • First question is regarding the guidance implied for the second half of this year. Obviously, you're expecting an acceleration in revenue. Can you give some detail on what you expect to lead that revenue growth in the second half?

    第一個問題是關於今年下半年的指導。顯然,您期望收入加速成長。您能否詳細說明您預計下半年營收成長的原因是什麼?

  • And specifically on China, you characterized that as strong right now. Do you expect that to remain strong as you go into the second half?

    特別是在中國方面,您將其描述為目前的強勁。您預計下半年這種勢頭會保持強勁嗎?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. So the second half, I think this is very much in line with the orders that we have today because we're fully booked for the year. So the shipment plans that we have, and this is across the board. So this is for some of the fab openings and some of the ramps that I think all of you are aware, that have been scheduled for 2024, both in Logic and in Memory. So this really is across the board.

    是的。所以下半年,我認為這與我們今天的訂單非常一致,因為我們今年的訂單已經滿了。我們的出貨計劃是全面性的。因此,這是針對邏輯和內存領域的一些晶圓廠開業和一些產能提升的情況,我想你們都知道,這些項目已計劃於 2024 年進行。所以這確實是全面的。

  • As you know, there is -- we are fairly conservative, I would say, on the Installed Base business, right? So the Installed Base business in the second half is only a little bit more than what we have in the first half. And I think that's still potential that I think there is for the year that we see an uptick in that number, particularly as it relates to the potential for upgrades in the second half of the year. But it really is very much, I would say, across the board.

    如您所知,我想說,我們在安裝基礎業務方面相當保守,對吧?因此,下半年的安裝量業務只比上半年多一點點。我認為今年這個數字仍有上升的潛力,特別是因為它與下半年的升級潛力有關。但我想說,這確實是全面的。

  • And in terms of China, I think we already said that. We expect China to continue to be quite strong. Of course, it depends a little bit on the sales also to the rest of the world. There are still some tools where we are supply constrained.

    就中國而言,我想我們已經說過了。我們預計中國將持續保持強勁。當然,這在一定程度上也取決於對世界其他地區的銷售。我們仍然有一些工具的供應受到限制。

  • So there -- the demand composition could be such that there are some limitations to what we can ship to China. But normally, as we said, the demand for China is very strong. So all the reasons to expect the strong sales into China to continue for the rest of the year as well.

    因此,需求組成可能會導致我們向中國運送的貨物受到一些限制。但通常情況下,正如我們所說,對中國的需求非常強勁。因此,有理由預期今年剩餘時間中國市場的強勁銷售也將持續。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • And we are fully booked for 2024, and we are in the habit of actually shipping what we booked. So there's little doubt in our mind that 2024 will just turn out the way that we gave you as an outlook.

    我們 2024 年的預訂已滿,而且我們習慣於實際發貨我們預訂的東西。因此,我們毫無疑問地認為 2024 年的結果將會如我們所預測的。

  • Christopher Caso - MD

    Christopher Caso - MD

  • Understood. And as a follow-up on memory. And you spoke last quarter in the very strong bookings last quarter were a lot of technology buys on memory. Could you give us some sense on when you expect some of the follow-through from perhaps some of the deep EUV orders that would -- the supply capacity for memory? We've seen some green shoots in the memory market. Just interested what your customers are telling you regarding that capacity.

    明白了。並作為記憶的後續。您在上個季度提到,上個季度的預訂量非常強勁,有很多關於記憶體的技術購買。您能否告訴我們,您預計何時會從一些深度 EUV 訂單中獲得一些後續成果——內存的供應能力?我們已經看到內存市場出現了一些萌芽。只是對您的客戶告訴您有關該容量的信息感興趣。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Well, it's very clear. As we mentioned before that the utilization of memory is going up, and we've seen that now quite sustainably for quite a while. And that -- if that is sustained as we expect, as the market expects, as our customers expect, then you should see -- in the second half of this year, you should see that memory not just, let's say, technology transition, but it's also really the addition of this.

    嗯,這很清楚。正如我們之前提到的,記憶體利用率正在上升,而且我們已經看到這種情況在相當長的一段時間內持續增長。如果這種情況按照我們的預期、市場的預期、我們的客戶的預期持續下去,那麼你應該看到,在今年下半年,你應該看到記憶體不僅僅是技術轉型,但這也確實是這個的補充。

  • So that's, I think, very much in line with the current developments that we see in the market. So in the second half -- and one of the drivers why indeed you see this step up from the second half to the first half indeed is that you will see additional building of the capacity in the memory market.

    我認為,這非常符合我們在市場上看到的當前發展。因此,在下半年,您看到從下半年到上半年的這一進步的驅動因素之一確實是,您將看到記憶體市場容量的進一步建設。

  • Christopher Caso - MD

    Christopher Caso - MD

  • Great. From -- and you're saying that from a revenue standpoint in addition to an order standpoint.

    偉大的。從——你是從收入的角度以及訂單的角度來看。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • I say that from the revenue standpoint. Exactly right.

    我是從收入的角度這麼說的。非常正確。

  • Christopher Caso - MD

    Christopher Caso - MD

  • From a revenue standpoint.

    從收入的角度來看。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes.

    是的。

  • Operator

    Operator

  • We will now go to the next question. And your next question comes from the line of Mehdi Hosseini from Susquehanna.

    我們現在進入下一個問題。你的下一個問題來自薩斯奎哈納的邁赫迪·侯賽尼 (Mehdi Hosseini)。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Peter, want to wish you best of luck in your next endeavor, and hopefully turning to you at some lounge -- at some airport lounge. And Christophe, hope to see you more often in the U.S.

    彼得,祝你在下一次努力中一切順利,並希望在某個機場休息室向你求助。 Christophe,希望能常在美國見到你。

  • Back to my question, wanted to understand 2 things. First, what is the mix of backlog from -- attributed to China, excluding EUV?

    回到我的問題,想了解兩件事。首先,來自中國的積壓訂單(不包括 EUV)是怎麼樣的?

  • And then second question, Roger, can you update us on the NXE:3800E? How is the throughput with the early system shipment in the first half? And how is it going to improve in the second half?

    第二個問題,Roger,可以為我們介紹一下 NXE:3800E 的最新情況嗎?上半年早期系統出貨量如何?下半年情況會如何改善?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. So nice try, Mehdi. On China, we're not disclosing that. We're not disclosing the composition of the backlog in a geographic sense. So we said before at some point that the China, we said at once that China was a little bit over 20% in the backlog, and that -- as a result of that, no one should be surprised that the sales is around that percentage. That's not dramatically changed.

    是的。很好的嘗試,邁赫迪。關於中國,我們不會透露這一點。我們不會從地理角度揭露積壓訂單的組成。因此,我們之前在某個時候說過,中國的積壓量略高於 20%,因此,沒有人應該對銷售額約為這個百分比感到驚訝。這並沒有發生巨大的改變。

  • So I think we're still in that ballpark, but we're not going to be very specific on that. But at least that gives you a bit of a ballpark.

    所以我認為我們仍然在這個範圍內,但我們不會對此非常具體。但至少這給了你一些大概的了解。

  • As we said on the introduction of the 3800, we said before that this will come in full configuration in a couple of months' time. So in the second half, we'll get it to the 220 wafers per hour. Very quickly, it will get to 195. So it's several steps. So first, very rapidly getting it to 195 wafers per hour.

    正如我們在介紹 3800 時所說的那樣,我們之前說過這將在幾個月內完成完整配置。所以在下半年,我們將達到每小時 220 片晶圓。很快就會達到195。首先,非常迅速地達到每小時 195 片晶圓。

  • And then the tools that are going to leave the factory somewhere in the second half -- or early in the second half of this year will be 220. And the ones that are still at 195 will get an upgrade, such that, I would say, early in next year, you'll see the entire fleet being at 220 wafer square per hour. That's the plan, Mehdi.

    然後,將在下半年或今年下半年早些時候出廠的工具將達到 220 個。小時220 平方晶圓。這就是計劃,邁赫迪。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • To what extent that the improvement towards 200 is a factor or a driver in driving that EUR 4 billion plus per quarter new orders?

    向 200 的提高在多大程度上是推動每季 40 億歐元以上新訂單的因素或驅動因素?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • In essence, it isn't. This is a revenue recognition thing, right? So we defer some of the revenue until the point in time where the ultimate configuration, i.e., the 220 is being achieved. So there is a revenue recognition issue here. It's not a PO issue because the customer signed a PO for the tool (inaudible).

    從本質上講,事實並非如此。這是收入確認的事情,對嗎?因此,我們將部分收入推遲到實現最終配置(即 220)的時間點。所以這裡有一個收入確認問題。這不是採購訂單問題,因為客戶簽署了該工具的採購訂單(聽不清楚)。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • All right. So I think we have time for one last question. If you were unable to get through on this call and still have questions, please feel free to contact the ASML Investor Relations department with your question. Now operator, may we have the last caller, please?

    好的。所以我想我們有時間回答最後一個問題。如果您無法接通本次電話會議但仍有疑問,請隨時聯絡 ASML 投資者關係部門提出您的問題。現在接線員,請接聽最後一位來電者嗎?

  • Operator

    Operator

  • We will now take the last question, and the question comes from the line of Didier Scemama from Bank of America.

    我們現在要回答最後一個問題,這個問題來自美國銀行的 Didier Scemama。

  • Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

    Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

  • And warm congratulations, Peter. And if Martin is listening, thank you so much for everything, really. It's been an amazing privilege for me to cover the company for the last 24 years. And congratulations also to Christophe for the promotion to CEO job.

    熱烈祝賀,彼得。如果馬丁在聽,我真的非常感謝你所做的一切。在過去 24 年裡能夠報道這家公司對我來說是一種莫大的榮幸。也要祝賀 Christophe 晉升為執行長。

  • I've got one question on EUV and DRAM, if I may. The question is really, first of all, can you tell us roughly speaking of your wafer capacity -- of the wafer capacity deployed in the industry, how much a transition to EUV yet? And how much do you think that could evolve to the industry adopt EUV for DDR5 and HBM?

    如果可以的話,我有一個關於 EUV 和 DRAM 的問題。問題是,首先,您能否大致告訴我們您的晶圓產能——產業中部署的晶圓產能,向 EUV 的過渡還有多少?您認為產業在 DDR5 和 HBM 上採用 EUV 會發展到什麼程度?

  • And then related to that, I think in the past, you had mentioned that over the longer term, I think 2030 was a sort of time frame, the EUV unit breakdown could be 70-30 between Logic and Memory or DRAM. Do you think that the layer count increase we see with HBM could drive that to maybe a 50-50 sort of split over the course of the next 5 or 10 years?

    與此相關的是,我想在過去,您曾提到,從長遠來看,我認為 2030 年是一個時間框架,EUV 單元細分可能是邏輯和內存或 DRAM 之間的 70-30。您認為我們在 HBM 中看到的層數增加是否會在未來 5 或 10 年內將其推向 50-50 的分裂?

  • Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

    Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

  • This is Christophe here. So I think your question about the exact capacity is quite difficult to answer. I don't think we have all the elements to do that.

    這是克里斯托夫。所以我認為你關於確切容量的問題很難回答。我認為我們不具備做到這一點的所有要素。

  • What I can share with you is the trend we see on DRAM, basically, for EUV, where our customer road map basically is calling for an increased node on node of the number of EUV layers. And I think we see that basically already for a few nodes. And that, I think, is with the current visibility of the road map we have from our customers. So we see basically the number of layers continue to increase to execute on the DRAM road map.

    我可以與您分享的是我們在 DRAM 上看到的趨勢,基本上是 EUV,我們的客戶路線圖基本上要求增加節點上的 EUV 層數。我認為我們基本上已經在一些節點上看到了這一點。我認為,這就是我們從客戶那裡獲得的當前路線圖的可見性。所以我們基本上看到 DRAM 路線圖上的執行層數不斷增加。

  • The high bandwidth memory doesn't change that dramatically because the device itself is very similar. What is changing is the ratio between area and logic, mostly, which typically call for larger die and, therefore, more wafer capacity needs. So there, you have a translation in volume, but that's not related to the number of EUV layer. That's more related to the number of wafer you will need to do a high-bandwidth memory.

    高頻寬記憶體不會發生太大變化,因為裝置本身非常相似。正在發生變化的是面積和邏輯之間的比率,這通常需要更大的晶片,因此需要更多的晶圓容量。因此,您可以在體積上進行翻譯,但這與 EUV 層數無關。這與製作高頻寬記憶體所需的晶圓數量更相關。

  • And there, again, I think Roger mentioned it before, we're still trying. I think our customers are also still trying to understand exactly what will be the effect of high-bandwidth memory on the overall capacity needs for DRAM in the -- well, in the next few months.

    我想羅傑之前也提到過,我們仍在努力。我認為我們的客戶仍在嘗試準確地了解高頻寬內存在未來幾個月內對 DRAM 整體容量需求的影響。

  • But I think that the jury is still out on that. And we're working very hard on our side to try to understand that with the idea that when we come to all of you on November 14, we understand that a bit more. But I think it's work in progress also on our customer side.

    但我認為陪審團對此還沒有定論。我們正在非常努力地努力嘗試理解這一點,我們的想法是,當我們在 11 月 14 日與大家見面時,我們會更加了解這一點。但我認為我們的客戶方面也正在進行這項工作。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • So the 70-30 mix.

    所以70-30混合。

  • Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

    Christophe D. Fouquet - Executive VP, Chief Business Officer & Member of Management Board

  • Yes, yes.

    是的是的。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Which is also true for the 70-30 mix because it's all connected.

    對於 70-30 混合也是如此,因為它們都是相連的。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • All right. As this will be the last quarterly call for Peter, I'd also like to take a moment to publicly state to Peter that on behalf of the ASML IR team, it's been our extreme pleasure to have worked with you over many years.

    好的。由於這將是 Peter 的最後一次季度電話會議,我還想花點時間向 Peter 公開表示,代表 ASML IR 團隊,我們非常高興與您合作多年。

  • Thank you for your leadership. So many great memories and countless contributions to ASML. Congratulations on your retirement. We wish you and your family all the best.

    感謝您的領導。如此多的美好回憶和對 ASML 的無數貢獻。恭喜您退休。我們祝福您和您的家人一切順利。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • I could never have done it without you guys. That's absolutely clear.

    沒有你們,我永遠不可能做到這一點。這是絕對清楚的。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Hear, hear. On behalf of ASML, I'd like to thank you all for joining us today. Operator, if you could formally conclude the call, I'd appreciate it. Thank you.

    聽聽,聽聽。我謹代表 ASML 感謝大家今天加入我們。接線員,如果您能正式結束通話,我將不勝感激。謝謝。

  • Operator

    Operator

  • This concludes the ASML 2024 first quarter financial results conference call. Thank you for participating. You may now disconnect.

    ASML 2024 年第一季財務業績電話會議至此結束。感謝您的參與。您現在可以斷開連線。