艾司摩爾 (ASML) 2023 Q4 法說會逐字稿

內容摘要

半導體設備製造商 ASML 報告了 2023 年第四季度和全年的強勁財務業績。在安裝基礎業務增加的推動下,該季度的淨銷售額超過了指導值。該公司出貨了 10 套 EUV 系統,並確認了 13 套系統的收入。該季度淨利潤為 20 億歐元。

全年淨銷售額成長 30%,達到 276 億歐元。 ASML 預計 2024 年第一季淨銷售額將在 50 億至 55 億歐元之間。該公司預計2024年的毛利率將略有下降,但目標是到2025年實現54%至56%的毛利率。ASML收到了92億歐元的訂單,顯示對其2025年的前景充滿信心。該公司預計產業將復甦以及2024年中國的強勁需求。

ASML 計劃在即將到來的資本市場日解決對滿足高數值孔徑系統需求的擔憂。該公司澄清稱,其期末積壓訂單為 390 億歐元。 ASML 還提供了 2025 年 High-NA 技術計劃出貨量和收入的最新資訊。他們預計有 5 個系統產生收入,但現在確定確切的收入確認還為時過早。 ASML 強調,他們將在發貨前收到訂單,並將尋求更多確定性,因為其昂貴的工具帶來較高的財務風險。

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Good day, and thank you for standing by. Welcome to the ASML 2023 Fourth Quarter and Full Year Financial Results Conference Call on January 24, 2024. (Operator Instructions) Please be advised that today's conference is being recorded.

    美好的一天,感謝您的支持。歡迎參加 2024 年 1 月 24 日舉行的 ASML 2023 年第四季和全年財務業績電話會議。(操作員說明)請注意,今天的會議正在錄音。

  • I would now like to hand the conference over to Mr. Skip Miller. Please go ahead.

    現在我想把會議交給斯基普·米勒先生。請繼續。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, operator. Welcome, everyone. This is Skip Miller, Vice President of Investor Relations at ASML. [Joining me] today on the call are ASML's CEO, Peter Wennink; and our CFO, Roger Dassen. The subject of today's call is ASML's 2023 fourth quarter and full year results.

    謝謝你,接線生。歡迎大家。我是斯基普·米勒 (Skip Miller),ASML 投資者關係副總裁。 [和我一起]今天參加電話會議的是 ASML 執行長 Peter Wennink;和我們的財務長羅傑·達森。今天電話會議的主題是 ASML 2023 年第四季和全年業績。

  • The length of this call will be 60 minutes, and questions will be taken in the order that they are received. This call is also being broadcast live over the Internet at asml.com. A transcript of management's opening remarks and a replay of the call will be available on our website shortly following the conclusion of this call.

    本次電話會議時長為 60 分鐘,問題將依照收到的順序回答。此次電話會議也透過網路 asml.com 進行現場直播。本次電話會議結束後不久,我們的網站上將提供管理層開場白和電話會議重播的記錄。

  • Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities laws. These forward-looking statements involve material risks and uncertainties. For a discussion of risk factors, I encourage you to review the safe harbor statement contained in today's press release and the presentation found on our website at asml.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

    在我們開始之前,我想提醒聽眾,管理層在本次電話會議中發表的評論將包括聯邦證券法含義內的前瞻性陳述。這些前瞻性陳述涉及重大風險和不確定性。對於風險因素的討論,我鼓勵您查看今天的新聞稿中包含的安全港聲明以及我們網站 asml.com 上的演示文稿以及 ASML 表格 20-F 的年度報告以及向證券公司提交的其他文件和交易委員會。

  • With that, I'd like to turn the call over to Peter Wennink for a brief introduction.

    說到這裡,我想將電話轉給 Peter Wennink,讓他做個簡短的介紹。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you, Skip. Welcome, everyone, and thank you for joining us for our fourth quarter and full year 2023 results conference call. Before we begin the Q&A session, Roger and I would like to provide you an overview and some commentary on the fourth quarter and the full year 2023, as well as provide our view of the coming quarters. And Roger will start with a review of our fourth quarter and full year 2023 financial performance, with some added comments on our short-term outlook, and I will complete the introduction with some additional comments on the current business environment and on our future business outlook. Roger?

    謝謝你,斯基普。歡迎大家,並感謝您參加我們的 2023 年第四季和全年業績電話會議。在我們開始問答環節之前,羅傑和我想向您提供有關第四季度和 2023 年全年的概述和一些評論,並提供我們對未來幾季的看法。羅傑將首先回顧我們第四季和 2023 年全年的財務業績,並對我們的短期前景進行一些補充評論,我將透過對當前業務環境和未來業務前景的一些補充評論來完成介紹。羅傑?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Thank you, Peter, and welcome, everyone. I will first review the fourth quarter and full year 2023 financial accomplishments and then provide guidance on the first quarter of 2024.

    謝謝你,彼得,歡迎大家。我將首先回顧 2023 年第四季和全年的財務業績,然後提供 2024 年第一季的指導。

  • Let me start with our fourth quarter accomplishments. Net sales came in at EUR 7.2 billion, which is just above our guidance, primarily due to more installed base business. We shipped 10 EUV systems and recognized EUR 2.3 billion revenue from 13 systems this quarter. Net system sales of EUR 5.7 billion, which was mainly driven by Logic at 63%, with the remaining 37% coming from Memory. Installed Base Management sales for the quarter came in at EUR 1.6 billion, which was higher than guided due to additional service and upgrade sales. Gross margin for the quarter came in at 51.4%, which is above our guidance, primarily driven by installed base business.

    讓我從我們第四季的成就開始。淨銷售額為 72 億歐元,略高於我們的指導,這主要是由於安裝基礎業務的增加。本季我們出貨了 10 個 EUV 系統,並從 13 個系統中確認了 23 億歐元的收入。系統淨銷售額為 57 億歐元,其中 63% 主要由邏輯驅動,其餘 37% 來自記憶體。本季已安裝基礎管理銷售額為 16 億歐元,由於額外的服務和升級銷售而高於指導值。本季毛利率為 51.4%,高於我們的指引,這主要是由安裝基礎業務推動的。

  • On operating expenses, R&D expenses came in at EUR 1.041 billion, and SG&A expenses given at EUR 284 million, both basically as guided. Net income in Q4 was EUR 2 billion, representing 28.3% of net sales and resulting in an EPS of EUR 5.21.

    在營運費用方面,研發費用為 10.41 億歐元,SG&A 費用為 2.84 億歐元,兩者基本上符合指引。第四季淨利為 20 億歐元,佔淨銷售額的 28.3%,每股收益為 5.21 歐元。

  • Turning to the balance sheet. We ended the fourth quarter with cash, cash equivalents and short-term investments at a level of EUR 7 billion. Moving to the order book. Q4 net system bookings came in at EUR 9.2 billion, which is made up of EUR 5.6 billion for EUV bookings and EUR 3.6 billion for non-EUV bookings. These values also include inflation corrections.

    轉向資產負債表。截至第四季末,我們的現金、現金等價物和短期投資達到 70 億歐元。轉到訂單簿。第四季系統淨預訂額為 92 億歐元,其中 EUV 預訂額為 56 億歐元,非 EUV 預訂額為 36 億歐元。這些數值也包括通貨膨脹修正。

  • Net system bookings in the quarter are more balanced between Logic and Memory relative to past few quarters, with Logic at 53% of the bookings, while Memory accounted for the remaining 47%.

    與過去幾季相比,本季邏輯和記憶體的淨系統預訂量更加平衡,邏輯佔預訂量的 53%,而記憶體佔剩餘的 47%。

  • Looking at the full year, net sales grew 30% to EUR 27.6 billion, with a gross margin of 51.3%. EUV system sales grew 30% to EUR 9.1 billion, realized from 53 systems while a total, we shipped 42 EUV systems in 2023. Deep UV system sales grew 60% to EUR 12.3 billion. Our Metrology & Inspection system sales decreased 19% to EUR 536 million.

    全年淨銷售額成長30%,達276億歐元,毛利率為51.3%。 EUV 系統銷售額成長 30%,達到 91 億歐元,由 53 個系統實現,2023 年我們總共出貨了 42 個 EUV 系統。深紫外線系統銷售額成長 60%,達到 123 億歐元。我們的計量與檢測系統銷售額下降 19% 至 5.36 億歐元。

  • Looking at the market segments for 2023. Logic system revenue was EUR 16 billion, which is a 60% increase from last year. Memory system revenue was EUR 6 billion, which is a 9% increase from last year. Installed Base Management sales was EUR 5.6 billion, which is a 2% decrease compared to previous year.

    展望2023年的細分市場,邏輯系統營收為160億歐元,比去年成長60%。儲存系統營收為60億歐元,比去年成長9%。已安裝基礎管理銷售額為 56 億歐元,較上年下降 2%。

  • At the end of 2023, we finished with a backlog of EUR 39 billion. Our R&D spending increased to EUR 4 billion in 2023 as we continue to invest in innovation across our full product portfolio. Overall, R&D investments as a percentage of 2023 sales were about 14%. SG&A increased to EUR 1.1 billion in 2023, which was about 4% of sales.

    截至 2023 年底,我們完成了 390 億歐元的積壓工作。隨著我們繼續投資於整個產品組合的創新,我們的研發支出到 2023 年將增加至 40 億歐元。整體而言,研發投資佔 2023 年銷售額的比例約為 14%。 SG&A 到 2023 年將增至 11 億歐元,約佔銷售額的 4%。

  • Net income for the full year was EUR 7.8 billion, 28.4% of net sales, resulting in an EPS of EUR 19.91. We finished 2023 with a free cash flow generation of EUR 3.2 billion. We returned EUR 3.3 billion to shareholders through a combination of dividends and share buybacks in 2023.

    全年淨利為 78 億歐元,佔淨銷售額的 28.4%,每股收益為 19.91 歐元。 2023 年末,我們的自由現金流量達到 32 億歐元。 2023 年,我們透過股利和股票回購相結合的方式向股東返還 33 億歐元。

  • With that, I would like to turn to our expectations for the first quarter of 2024. We expect Q1 net sales to be between EUR 5 billion and EUR 5.5 billion. We expect our Q1 installed base management sales to be around EUR 1.3 billion. Gross margin for Q1 is expected to be between 48% and 49%. Lower revenue and margin relative to Q4 is primarily driven by lower emerging volume, along with an unfavorable change in product mix. In addition, we also expect (inaudible) EUV volume and lower Installed Base business in Q1 relative to Q4.

    接下來,我想談談我們對 2024 年第一季的預期。我們預計第一季淨銷售額將在 50 億歐元至 55 億歐元之間。我們預計第一季安裝基礎管理銷售額約為 13 億歐元。第一季毛利率預計在 48% 至 49% 之間。與第四季相比,營收和利潤率下降主要是由於新興銷售下降以及產品組合的不利變化所致。此外,我們也預期第一季的 EUV 銷售量(聽不清楚)和安裝量業務將低於第四季。

  • The relatively slow start to the year is a reflection of the current state of the industry coming out of a downturn. As it relates to gross margin, I would like to make a few more comments on the 2024 margin drivers as well as our longer-term ambitions of 54% to 56% by 2025. We finished 2023 with a full year gross margin of 51.3%, and there are a number of developments that could impact the gross margin in 2024.

    今年開局相對緩慢反映了產業走出低迷的現狀。由於與毛利率相關,我想對 2024 年的利潤率驅動因素以及我們到 2025 年達到 54% 至 56% 的長期目標發表更多評論。2023 年全年毛利率為 51.3% ,並且有許多發展可能會影響2024 年的毛利率。

  • For EUV, positive drivers include a higher ASP of the 3800E as well as improving EUV service margins. For deep UV, we expect product mix to have a negative impact on margin in 2024. On our installed base business, we currently expect a similar gross margin as 2023, but the final impact will ultimately depend on the level of upgrades in 2024.

    對於 EUV,積極的驅動因素包括 3800E 更高的平均售價以及 EUV 服務利潤率的提高。對於深紫外線,我們預計產品組合將對2024 年的利潤率產生負面影響。就我們的安裝基礎業務而言,我們目前預計毛利率與2023 年類似,但最終影響最終將取決於2024 年的升級水平。

  • And finally, as we have said before, we expect significant costs in 2024 related to the introduction of High-NA and to the ramp of our capacity to 90 EUV, 600 deep UV levels that we have talked about before, which will create pressure on the gross margin.

    最後,正如我們之前所說,我們預計 2024 年將產生大量成本,這些成本與高數值孔徑的引入以及我們之前討論過的 90 EUV、600 深紫外線水平的產能提升有關,這將對毛利率。

  • When we assess the combined effects of these different developments, we expect a slightly lower gross margin in 2024 compared to 2023. We are still targeting our earlier communicated gross margin ambition of 54% to 56% by 2025. This increase in gross margin will be driven by a number of items. First, higher sales volume, both in EUV and deep UV, which improved fixed cost coverage.

    當我們評估這些不同發展的綜合影響時,我們預計 2024 年的毛利率將略低於 2023 年。我們仍將先前傳達的毛利率目標定為到 2025 年 54% 至 56%。毛利率的成長將由許多項目驅動。首先,EUV 和深 UV 銷量增加,改善了固定成本覆蓋率。

  • Second, a move to a higher-margin EUV 0.33 NA system as the vast majority of systems in 2025 are planned to be 3800E systems. Third, we expect reduced headwinds from capacity investments as we ramp volume, including High-NA. Fourth, we will also be transitioning to a higher-margin EUV High-NA system, the 5200 in 2025. Lastly, we expect our Installed Base business to have a positive impact on 2025 margins due to both improved EUV service margins as well as increased upgrade business volume.

    其次,轉向利潤率更高的 EUV 0.33 NA 系統,因為 2025 年絕大多數系統計劃為 3800E 系統。第三,我們預期隨著產量的增加,產能投資帶來的阻力將會減少,包括高數值孔徑。第四,我們也將在2025 年過渡到利潤率更高的EUV High-NA 系統,即5200。最後,由於EUV 服務利潤率的提高以及成長,我們預計我們的安裝基礎業務將對2025 年的利潤率產生正面影響。提升業務量。

  • The expected R&D expenses for Q1 are around EUR 1.07 billion and SG&A is expected to be around EUR 300 million. Our estimated 2024 annualized effective tax rate is expected to be between 16% and 17%. In Q4, ASML paid the second quarterly interim dividend of EUR 1.45 per ordinary share. ASML intends to declare a total dividend for the year 2023 of EUR 6.10 per ordinary share. The third interim dividend of EUR 1.45 per ordinary share will be made payable on February 14, 2024.

    第一季的研發費用預計約為 10.7 億歐元,SG&A 預計約 3 億歐元。我們預計 2024 年年化有效稅率預計在 16% 至 17% 之間。第四季度,ASML支付了第二季中期股息,每股普通股1.45歐元。 ASML 擬宣布 2023 年每股普通股股利總額為 6.10 歐元。第三次中期股利每股普通股 1.45 歐元將於 2024 年 2 月 14 日支付。

  • Recognizing this third interim dividend and the 2 interim dividends of EUR 1.45 per ordinary share paid in 2023, this leads to a final dividend proposal to the general meeting of EUR 1.75 per ordinary share. In Q4 2023, no shares were purchased.

    考慮到這第三次中期股息以及 2023 年支付的兩次中期股息每股普通股 1.45 歐元,股東大會最終股息提案為每股普通股 1.75 歐元。 2023 年第四季度,沒有買任何股票。

  • With that, I would like to turn the call back over to Peter.

    有了這個,我想把電話轉回給彼得。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you, Roger. As Roger has highlighted, we had another year of very strong growth in a very challenging environment. And we finished the year with a solid backlog of EUR 39 billion. The uncertainty remains in the market due to a number of global macro concerns, while the semiconductor industry is currently working through the bottom of the cycle. Our customers are still not certain on the shape or slope of the recovery this year but there are some positive signs in the indicators that we have been monitoring. Industry end market inventory levels continue to improve, moving towards more healthy levels. With probably 2 utilization levels are still running lower than normal, but are now improving in both Logic and Memory. We expect utilization levels to continue to improve over the course of this year.

    謝謝你,羅傑。正如羅傑所強調的那樣,我們在充滿挑戰的環境中又實現了強勁成長。今年年底,我們積壓了 390 億歐元。由於全球宏觀擔憂的影響,市場的不確定性仍然存在,而半導體產業目前正處於週期的底部。我們的客戶仍然不確定今年復甦的形狀或斜率,但我們一直在監測的指標中有一些積極的跡象。產業終端市場庫存水準持續改善,走向更健康的水準。可能有 2 個利用率水平仍然低於正常水平,但邏輯和記憶體現在都在改善。我們預計今年的利用率水準將持續提高。

  • And lastly, as mentioned by Roger, we saw very strong order intake in the fourth quarter in support of future demand. To be able to follow the curve of the industry recovery, we are looking at the combined demand for 2024 and 2025. As mentioned last quarter, we fueled 2024 as a transition year in preparation with the expected strong demand in 2025. We, therefore, continue to make investment this year, both in capacity ramp and in technology to be ready for the upturn in the cycle.

    最後,正如羅傑所提到的,我們在第四季度看到了非常強勁的訂單量,以支持未來的需求。為了能夠追蹤行業復甦的曲線,我們正在研究 2024 年和 2025 年的綜合需求。正如上個季度提到的,我們將 2024 年作為過渡年,為 2025 年預期的強勁需求做好準備。因此,今年繼續在產能提升和技術方面進行投資,為週期的改善做好準備。

  • While we see some positive signs of recovery, we feel it might be a bit too early to change our perhaps conservative view as communicated last quarter, and therefore, still stay with our previously communicated expectation of 2024 revenue to be similar to 2023.

    雖然我們看到了一些積極的復甦跡象,但我們認為改變我們上季度傳達的保守觀點可能還為時過早,因此,我們仍然維持先前傳達的 2024 年收入與 2023 年類似的預期。

  • Looking at the market segments. Customers are indicating, they are seeing healthy growth this year, primarily driven by AI-related demand for both Logic and Memory but also expected from other end markets as inventory levels improve. And coming off a very strong year in 2023 with 60% growth in Logic revenue, we expect some pause in demand as customers digest the capacity additions and while utilization levels improve. Based on current demand, we see lower Logic revenue in 2024 versus 2023.

    著眼於細分市場。客戶表示,他們今年看到了健康的成長,這主要是由人工智慧相關的邏輯和記憶體需求推動的,但隨著庫存水準的改善,預計其他終端市場也會出現這種需求。 2023 年是非常強勁的一年,邏輯收入成長了 60%,我們預計,隨著客戶消化新增產能以及利用率水準提高,需求將出現一些停頓。根據當前需求,我們預期 2024 年 Logic 營收將低於 2023 年。

  • For Memory, inventories are approaching normal levels and customers are expecting to see demand growth on a number of end markets this year. Litho demand is primarily driven by DRAM technology node transitions in support of advanced memories such as DDR5 and HBM in support of AI-related demand. We currently see revenue growth in our 2024 Memory business versus 2023.

    對於記憶體而言,庫存已接近正常水平,客戶預計今年許多終端市場的需求將會成長。光刻需求主要由 DRAM 技術節點轉變驅動,以支援 DDR5 和 HBM 等先進記憶體,從而支援人工智慧相關需求。目前,我們預計 2024 年記憶體業務的營收將較 2023 年有所成長。

  • Turning to our businesses for EUV. We are expecting revenue growth in 2024 and we are planning to recognize revenue on a similar number of EUV Low-NA systems as 2023, which includes the fast shipments for 2023. Although we planned a similar number of systems as 2023, we will have higher ASPs from the NXE:3800E systems, more weighted towards the second half of the year. In addition, we expect revenue from 1 or 2 High-NA systems.

    轉向我們的 EUV 業務。我們預計2024 年收入將成長,並計劃在與2023 年類似數量的EUV 低數值孔徑系統上確認收入,其中包括2023 年的快速出貨量。儘管我們計劃與2023 年類似數量的系統,但我們的系統數量將較高NXE:3800E 系統的 ASP 更傾向於下半年。此外,我們預計來自 1 或 2 個高數值孔徑系統的收入。

  • Based on the aforementioned, we expect our non-EUV business to be down in 2024, primarily driven by lower immersion sales relative to 2023. For our Installed Base business, based on our view today, we expect a similar level of revenue compared to last year, plus the recovery becomes more clear this year. Customers may likely look to upgrade their systems in preparation for 2025. And this could provide future business opportunity this year. As a reflection of the current state of the industry coming out of a downturn and an expected recovery over the course of 2024, we expect a stronger second half relative to the first half of this year.

    基於上述情況,我們預計我們的非 EUV 業務將在 2024 年下降,主要是由於沉浸式銷售相對 2023 年下降。對於我們的安裝基礎業務,根據我們今天的觀點,我們預計收入水平與去年相似今年,復甦變得更加明顯。客戶可能會考慮升級他們的系統,為 2025 年做好準備。這可能會在今年提供未來的商機。作為產業走出低迷的現狀以及 2024 年預期復甦的反映,我們預計下半年將比上半年更加強勁。

  • On the geopolitical front, as communicated earlier, we do not expect to get export licenses for our most advanced immersion systems, the NXT:2000 and up for China in 2024. We have been in contact with the U.S. government on their export control regulations announced in October last year, and we can confirm the estimated financial impact as communicated in October.

    在地緣政治方面,正如之前所傳達的,我們預計2024 年不會獲得我們最先進的浸入式系統NXT:2000 及以上的中國出口許可證。我們一直在與美國政府就其宣布的出口管制法規進行聯絡去年 10 月,我們可以確認 10 月通報的預期財務影響。

  • At that time, we stated the impact of the Dutch and the U.S. export control regulations combined is 10% to 15% of our 2023 China system revenue. This impact is based on our presumption that as of 2024, we will not obtain export licenses for NXT:2000 and up immersion systems to Chinese customers. And in the case of only a handful of Chinese fabs, this also includes NXT:1970 and 1980 systems. While the export regulations had an impact on our business, we continue to see strong demand for mid-critical and mature nodes in China.

    當時我們表示,荷蘭和美國出口管制法規的影響加起來是我們2023年中國系統收入的10%到15%。這項影響是基於我們的假設,截至 2024 年,我們將無法獲得向中國客戶出口 NXT:2000 以上沉浸式系統的許可證。就少數中國晶圓廠而言,這也包括 NXT:1970 和 1980 系統。儘管出口法規對我們的業務產生了影響,但我們仍然看到中國對中關鍵和成熟節點的強勁需求。

  • Looking longer term, while there are still significant uncertainties, primarily driven by the macro environment, it appears we are passing through the bottom of this specific cycle and expect an industry recovery over the course of 2024. Based on discussions with our customers and supported by our strong backlog, we currently expect 2025 to be a strong year driven by a number of factors.

    從長遠來看,雖然仍然存在重大的不確定性(主要是由宏觀環境驅動),但我們似乎正在穿越這個特定週期的底部,並預計行業將在2024 年復甦。基於與客戶的討論並獲得以下支援:由於我們大量的積壓,我們目前預計 2025 年將是由多種因素推動的強勁的一年。

  • First, the secular growth drivers in the semiconductor end markets which we have previously discussed, such as energy transition electrification and AI. The expanding applications [space] along with increasing lithography on future technology nodes, drives demand for both advanced and mature nodes.

    首先,我們先前討論過的半導體終端市場的長期成長驅動力,例如能源轉型電氣化和人工智慧。不斷擴大的應用[空間]以及未來技術節點上不斷增加的光刻技術,推動了對先進和成熟節點的需求。

  • Second, the industry expects to be in the middle of a cyclical upturn in 2025. And last, as mentioned earlier, we need to prepare for a significant number of new fabs that are being built across the globe, in some instances clearly supported by several government incentive plans. These fabs are spread geographically, are strategic for our customers and are scheduled to take our tools.

    其次,該產業預計將在2025 年處於週期性改善。最後,如前所述,我們需要為全球各地正在建造的大量新晶圓廠做好準備,在某些情況下,這些晶圓廠顯然得到了多家公司的支持。政府激勵計劃。這些晶圓廠分佈廣泛,對我們的客戶具有戰略意義,並計劃採用我們的工具。

  • It is essential that we keep our focus on the future and build capacity in preparation for further long-term growth as we discussed in the market scenarios for 2025 and 2030 during our Investor Day in November 2022. We plan to update our view during our Investor Day this year on November 14, 2024.

    正如我們在2022 年11 月的投資者日期間討論的2025 年和2030 年市場情景中所討論的那樣,我們必須關注未來並建立能力,為進一步的長期增長做好準備。我們計劃在投資者日期間更新我們的觀點今年的這一天是 2024 年 11 月 14 日。

  • In summary, although there are still near-term uncertainties with a positive outlook trend, we clearly remain confident in our long-term growth opportunity. And with that, we'd be happy to take your questions.

    總而言之,儘管近期仍存在不確定性,前景趨勢樂觀,但我們對長期成長機會顯然仍然充滿信心。因此,我們很樂意回答您的問題。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, Roger and Peter. The operator will instruct you momentarily on the protocol for the Q&A session (Operator Instructions). Now operator, could we have your final instructions and then the first question, please?

    謝謝你們,羅傑和彼得。操作員將立即指導您了解問答環節的協議(操作員說明)。現在,接線員,請給我們最後的指示,然後問第一個問題,好嗎?

  • Operator

    Operator

  • (Operator Instructions) And the first question comes from the line of Mehdi Hosseini from Susquehanna.

    (操作員說明)第一個問題來自 Susquehanna 的 Mehdi Hosseini。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Two follow-ups. Peter, you're talking about your view on '24 is still cautious, but you could always change as the year progresses. Can you share with us the puts and takes, what are the key areas that could actually give you confidence and help drive upside? And I have a follow-up.

    兩個後續。 Peter,你說的是你對 24 世紀的看法仍然很謹慎,但隨著時間的推移,你總是可以改變的。您能否與我們分享看跌期權以及哪些關鍵領域可以真正為您帶來信心並幫助推動上漲?我有一個後續行動。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. Okay. Yes, I think in the prepared remarks, I already alluded to it, I think we will see -- we are seeing the first signs, the positive signs of a recovery, which is basically the data we get on inventory levels, but also we see our utilization rates going up again.

    是的。好的。是的,我認為在準備好的發言中,我已經提到了這一點,我想我們會看到——我們正在看到第一個跡象,復甦的積極跡象,這基本上是我們獲得的庫存水平數據,但我們也看到了復甦的跡象。看到我們的利用率再次上升。

  • That -- and also in the history, not only of the company but the industry, means that we're in a recovery cycle, you will see that continuing throughout the year, which means that 2025 could be the first full year of the recovery.

    這——而且在公司和行業的歷史上,都意味著我們正處於復甦週期,你會看到這種情況全年持續,這意味著 2025 年可能是復甦的第一個完整年份。

  • Now having said that, to assess the slope of the recovery, we take a bit of a conservative view that could, of course, change. So if the slope of the recovery is a bit faster than we think than some of that 2025 business, which we're preparing, by the way, to ship or at least to build in 2024 could actually be shipped in 2024.

    話雖如此,為了評估復甦的斜率,我們採取了一些保守的觀點,當然,這種觀點可能會改變。因此,如果復甦的斜率比我們想像的要快一些,那麼我們準備在 2024 年發貨或至少在 2024 年建造的 2025 年業務中的一些實際上可能會在 2024 年發貨。

  • Now I don't think it's going to be in the first half, but some of that -- if you talk about key areas of potentially improvement. That could be an area seeing some pull-in for system shipments into 2024, one.

    現在,我認為這不會發生在上半年,但如果你談論潛在改進的關鍵領域,那麼其中一些會發生。其一,這可能是 2024 年系統出貨量有所成長的領域。

  • Two, I think when that happens, customers see it happening. But we also see that they might have some time to take advantage of the time before full utilization and do upgrades and actually Installed Base business, which is generally high-margin business. I think those are, I think, the 2 main areas where we see upside -- potential upside for 2024.

    第二,我認為當這種情況發生時,客戶會看到它發生。但我們也看到他們可能有一些時間利用充分利用之前的時間進行升級和實際安裝基礎業務,這通常是高利潤業務。我認為這些是我們看到的兩個主要領域——2024 年的潛在上漲空間。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Okay. So it's not really driven by any particular end market or application. It could be just a broad-based recovery that could give confidence to our customer and there will be some pull-ins, right?

    好的。因此,它實際上並不是由任何特定的終端市場或應用程式驅動的。這可能只是一個基礎廣泛的復甦,可以為我們的客戶帶來信心,並且會有一些拉動,對嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. That's always the case. I mean, you could argue, I mean, we have seen the focus on AI in the Memory space. Now clearly, that could happen. But I think it's generally the end markets that are reflective of where the recovery will take us in 2024.

    是的。情況總是如此。我的意思是,你可能會說,我的意思是,我們已經看到了記憶體領域對人工智慧的關注。現在很明顯,這可能會發生。但我認為,最終市場通常能反映 2024 年的復甦趨勢。

  • That will actually drive higher utilization of our tools and will mean pull-in of those machines because customers don't want to miss the market upturn. I think it's all a bit of the same because the end markets ultimately will have to -- will have to drive the recovery.

    這實際上將提高我們工具的利用率,並意味著引入這些機器,因為客戶不想錯過市場好轉的機會。我認為這一切都有點相同,因為終端市場最終將不得不推動復甦。

  • And I think AI is now particularly something which could be on top of that because that's clearly a technology transition. But we've already seen a very positive effect of that in our Q4 order intake.

    我認為人工智慧現在尤其可以在此之上,因為這顯然是一種技術轉型。但我們已經在第四季度的訂單量中看到了這一點非常正面的影響。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Okay. If I may ask my follow-up. In the last Capital Market Day, you highlighted how DRAM could account for 30% of your EUV shipment in '25. How does that transition looks like? Maybe you can share with us the DRAM mix of EUV shipment in '23? And how does the '24 look like as we focus on a 30% target mix for '25?

    好的。我可以詢問我的後續行動嗎?在上一資本市場日,您強調了 DRAM 如何佔 25 年 EUV 出貨量的 30%。這種轉變是什麼樣的?也許您可以跟我們分享一下23年EUV出貨量的DRAM結構?當我們專注於「25」的 30% 目標組合時,「24」會是什麼樣子?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, in '23, our Memory shipments were lower than the 30% that you mentioned. But if you look at '25, and we also take into account what I just said about AI and the need for EUV in the DDR5 and in the HBM era, then the 30% is a very safe path and could be on the conservative side.

    嗯,23 年,我們的記憶體出貨量低於您提到的 30%。但如果你看看 25 年,我們也考慮到我剛才所說的 AI 以及 DDR5 和 HBM 時代對 EUV 的需求,那麼 30% 是一條非常安全的路徑,可能會偏保守。

  • Operator

    Operator

  • We will now go to the next question. And your next question comes from the line of Joe Quatrochi from Wells Fargo.

    我們現在進入下一個問題。你的下一個問題來自富國銀行的 Joe Quatrochi。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • I know you reported the orders for both Low-NA and High-NA this quarter. But can you help us just kind of quantify that mix in the orders this quarter? I imagine that the High-NA orders that you're receiving now are for deliveries that are beyond 2025 at this point?

    我知道您報告了本季低數值孔徑和高數值孔徑的訂單。但您能否幫助我們量化本季訂單中的這種組合?我想您現在收到的高 NA 訂單是 2025 年後交貨的?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes, Joe, as you know, we never disclose High-NA orders, and we do it for a good reason. I've said it before. The world is very small and High-NA orders are something that is competitively sensitive for our customers.

    是的,喬,如您所知,我們從不透露高 NA 訂單,我們這樣做是有充分理由的。我以前已經說過了。世界很小,高數值孔徑訂單對我們的客戶來說具有競爭敏感度。

  • So that's why customers, they don't want us to be specific on High-NA. I think it is fair to say that if you look at the EUR 5.6 billion of order intake for EUV that we recorded in Q4, obviously, the lion's share of that is in Low-NA, and that should be very clear.

    這就是為什麼客戶不希望我們具體說明高數值孔徑。我認為可以公平地說,如果你看看我們在第四季度記錄的 56 億歐元的 EUV 訂單量,顯然,其中最大份額是低NA,這一點應該非常清楚。

  • In terms of overall orders that we have for High-NA, I think a couple of quarters ago, we said it's now double digit. I think since then, we had a few quarters where we added a couple, so I think that's what we're looking at. But the lion's share of the order intake in the quarter really was obviously for Low-NA.

    就我們的高NA總訂單而言,我認為幾個季度前,我們說過現在是兩位數。我想從那時起,我們有幾個季度增加了幾個季度,所以我認為這就是我們正在考慮的。但本季訂單量的最大份額顯然是低NA 的。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • Got it. That's helpful. And then just as a follow-up, can you give us the puts and takes on free cash flow this quarter. I wonder, I guess, -- I thought that it might have been a bit higher given the record level of EUV orders and the associated partial down payments that are related to that. So just any help you could give us on the free cash flow this quarter?

    知道了。這很有幫助。作為後續行動,您能否向我們提供本季自由現金流的看跌期權和期權。我想,我想,考慮到 EUV 訂單的創紀錄水平以及與之相關的部分首付,我認為這個數字可能會更高一些。那麼您可以在本季度的自由現金流方面為我們提供任何幫助嗎?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes, of course. Down payments were helpful, right? So as a result of that, I do -- you did see that in the second quarter -- in the last quarter Q4 free cash flow was quite positive at EUR 2.6 billion just for the quarter, primarily driven, as you say, by down payments.

    是的當然。首付很有幫助,對嗎?因此,我確實——你在第二季度確實看到了這一點——在上個季度,第四季度的自由現金流相當積極,僅該季度就達到了26 億歐元,正如你所說,主要是由下降推動的付款。

  • But it's also fair to see that we are in ramping mode. We are preparing for a ramp in 2025, and that means we're taking in materials. We're building inventory for High-NA, et cetera, et cetera. So I think on the inventory position, you would see that we're adding quite a bit.

    但看到我們處於斜坡模式也是公平的。我們正在為 2025 年的坡道做準備,這意味著我們正在吸收材料。我們正在為高數值孔徑等建立庫存。所以我認為在庫存狀況上,你會看到我們增加了很多。

  • So that is obviously a negative, if you like, to the free cash flow. But still, overall, I think the EUR 2.6 billion that we recorded in the last quarter, I think, was a healthy -- was a healthy cash generation.

    所以,如果你願意的話,這顯然對自由現金流有負面影響。但總體而言,我認為上季度我們記錄的 26 億歐元是健康的——是健康的現金產生。

  • Operator

    Operator

  • And your next question comes from the line of Stephane Houri EDDO BHF.

    您的下一個問題來自 Stephane Houri EDDO BHF。

  • Stephane Houri - Research Analyst

    Stephane Houri - Research Analyst

  • Yes. Actually, the question is about the 2025 acceleration. My feeling is that you sound a bit more comfortable on the fact that this strong acceleration is going to happen. And I remember you're saying that you are targeting the middle of the range. But at the same time, and I'm sure you didn't miss this one, consensus has come down really to the lower end of the guidance for 2025.

    是的。實際上,問題是關於2025年的加速。我的感覺是,你聽起來會更舒服一些,因為這種強烈的加速即將發生。我記得你說過你的目標是範圍的中間部分。但同時,我相信您沒有錯過這一點,共識已經真正降至 2025 年指導的下限。

  • So can you maybe tell us if you are still targeting the middle of the range, at least? And what do you need to see in terms of Memory recovery, Logic orders coming through to 2024 to reassure that?

    那麼您能否告訴我們您是否至少仍以中間範圍為目標?您需要在記憶體恢復方面看到什麼,到 2024 年的邏輯訂單才能保證這一點?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. Yes, Stephane. Yes, yes, I mean we feel a bit more comfortable on 2025 after having received EUR 9.2 billion of orders, which, especially in the EUV domain, it's focused on 2025. So I think you get the vote of confidence from our customers on what we thought was going to happen, which is always good.

    是的。是的,史蒂芬。是的,是的,我的意思是,在收到92 億歐元的訂單後,我們對2025 年感到更加放心,尤其是在EUV 領域,重點是2025 年。所以我認為我們的客戶對什麼投了信任票我們以為會發生,總是好的。

  • When you talk about the middle of the range, I said -- my comment was that I thought the lower end of the range was too conservative. That's what I said. I can make it in the middle of the range or the high end of the range. It's your choice, but I'm not going to comment on that because that would give me in January 2024 -- the 24th of January, give you an outlook or either I give you guidance on 2025. I mean it might be a bit early.

    當你談論範圍的中間時,我說——我的評論是,我認為範圍的下限過於保守。這就是我所說的。我可以將其設置在範圍的中間或高端。這是你的選擇,但我不會對此發表評論,因為這會讓我在2024 年1 月——1 月24 日,給你一個展望,或者我給你2025 年的指導。我的意思是這可能有點早。

  • So -- but I do feel directionally, that's what I said. I said the low end, I thought it was too conservative because we do believe that 2025 is going to be a very strong year. Well, the order intake actually gives us at least some level of confidence that -- that statement at that time was actually quite good. So I feel more comfortable. Yes, that's absolutely true.

    所以——但我確實有方向性,這就是我所說的。我說的是低端,我認為這太保守了,因為我們確實相信 2025 年將是非常強勁的一年。嗯,訂單量實際上至少讓我們有一定程度的信心——當時的說法實際上相當不錯。所以我感覺更舒服。是的,這絕對是真的。

  • Now if the recovery in 2025 is indeed what we expect. Yes, we should see a further order flow in 2024. Our lead time -- order lead time is 12 to 18 months. So yes, and that's what I also said last time, if we're right on 2025, we need to see orders coming in, in the first half of 2024.

    現在如果2025年的復甦確實如我們所期望的。是的,我們應該會在 2024 年看到更多的訂單流。我們的交貨時間——訂單交貨時間是 12 到 18 個月。所以是的,這也是我上次所說的,如果 2025 年是正確的,我們需要在 2024 年上半年看到訂單。

  • Now we've seen a significant batch of those orders already coming in, in Q4 2023. So that just supports our assumptions. So in that sense -- now make a long story short, yes, I feel more comfortable, I think, with me are my colleagues.

    現在,我們已經看到大量訂單已在 2023 年第四季收到。因此,這正好支持了我們的假設。所以從這個意義上來說——現在長話短說,是的,我感覺更舒服,我認為和我在一起的是我的同事。

  • Stephane Houri - Research Analyst

    Stephane Houri - Research Analyst

  • Okay. And regarding the Memory market as a follow-up, is it really the start of the recovery of investment in memory? Or is this just all that is related to AI, DDR5, HBM? Or is it wider in your view?

    好的。而作為後續的記憶體市場,是否真的是記憶體投資復甦的開始?或者這只是與AI、DDR5、HBM相關的全部?或者在你看來它更廣泛?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, I think it's -- what we're seeing is, of course, the information coming off our tools that we see the utilization rates going up. That's one. Clearly, there's also an element of technology transition.

    嗯,我認為,當然,我們看到的是,我們的工具發出的信息表明利用率正在上升。這是一個。顯然,還有技術轉型的因素。

  • That's also clear. I think there's a bottleneck in the AI and making use of the full AI potential, DRAM is a bottleneck. The performance memory is a bottleneck. And there are solutions, but they need a heck of a lot more HBM and that's EUV. So it's a bit of a mix.

    這也很清楚。我認為人工智慧存在瓶頸,要充分利用人工智慧的潛力,DRAM 是一個瓶頸。記憶體是效能瓶頸。解決方案是有的,但它們需要更多的 HBM,這就是 EUV。所以這有點混合。

  • I mean, yes, you've gone through, I think, the bottom of this memory cycle with prices going up, utilizations increasing, and that combined with the technology transition driven by AI. That's a bit what we see today. So it's a combination of both, and I think that will continue.

    我的意思是,是的,我認為你已經經歷了這個記憶體週期的底部,價格上漲,利用率增加,再加上人工智慧驅動的技術轉型。這就是我們今天所看到的一點。所以這是兩者的結合,我認為這種情況將會持續下去。

  • Operator

    Operator

  • And your next question comes from the line of Sara Russo from Bernstein.

    你的下一個問題來自伯恩斯坦的薩拉·魯索(Sara Russo)。

  • Sara Russo - Research Analyst

    Sara Russo - Research Analyst

  • So you've said you're expecting strong demand from China to continue in 2024. Is that expected to be sort of at the same level we've seen for the last few quarters where it's been exceptionally strong? Or is there some rebalancing you're expecting across global demand expected for later in the year as we get towards that growth expectation for 2025?

    您曾說過,預計中國的需求將在 2024 年持續強勁。預計這種需求是否會達到我們過去幾個季度所看到的異常強勁的水平?或者,隨著我們實現 2025 年的成長預期,您預計今年稍後全球需求是否會出現一些再平衡?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Well, I mean, we're not going to be specific at the beginning of the year exactly on China. But I think a few data points that we want to share with you. First off, as we also said in the video, we do see the demand from China being very robust. Second, there is an impact, obviously, coming out of the export controls. And Peter just gave you that impact, the 10% to 15%.

    嗯,我的意思是,我們不會在今年年初具體討論中國問題。但我認為我們想與您分享一些數據點。首先,正如我們在影片中所說,我們確實看到中國的需求非常強勁。其次,出口管制顯然會產生影響。彼得剛剛為你帶來了 10% 到 15% 的影響。

  • So I think fundamentally, it's pretty clear that the China demand remains very strong. As we said before, it's primarily driven towards mature and met critical notes. I mean that's what it's all for.

    所以我認為從根本上來說,很明顯中國的需求仍然非常強勁。正如我們之前所說,它主要是為了成熟並滿足批評意見。我的意思是這就是一切的目的。

  • It's a bit too early to speculate exactly how the China demand is going to pan out because that's also dependent on how the demand for the rest of the world is going to pan out because as you know, from previous years, we have allocation questions, right?

    現在要準確推測中國的需求將如何發展還為時過早,因為這還取決於世界其他地區的需求將如何發展,因為如你所知,從前幾年開始,我們有分配問題,正確的?

  • So for a number of tools. we're still supply constrained. So there you have to determine where is the tool going. And that will only be known once you have a complete picture of all the demand on a global basis. So that's why it's too early to make specific predictions is it kind of going to be a bit up, is it going to be a bit down. But one thing is for sure, China will remain very strong in our numbers also in 2024.

    所以需要一些工具。我們的供應仍然有限。因此,您必須確定該工具的去向。只有當您全面了解全球所有需求後,您才能知道這一點。所以這就是為什麼現在做出具體預測還為時過早,是會有點上漲,還是會有點下跌。但有一點是肯定的,到 2024 年,中國的數量仍將保持強勁。

  • Sara Russo - Research Analyst

    Sara Russo - Research Analyst

  • Great. That's really helpful. And maybe as a follow-up, I understand that because in 2024, you're not expected to be capacity constrained, especially on the EUV side necessarily.

    偉大的。這真的很有幫助。也許作為後續行動,我理解這一點,因為到 2024 年,預計產能不會受到限制,尤其是在 EUV 方面。

  • Are you -- if you're going to be prebuilding, are you anticipating incurring additional costs around potentially holding those machines for any period of time? Or are you expecting those orders to materialize closer to when the machines be ready? Or are there any other -- is that sort of a drag on margins for 2024 that you're factoring in?

    如果您要預建,您是否預計在任何時間內可能持有這些機器會產生額外成本?或者您預計這些訂單會在機器準備就緒時落實?或者是否還有其他因素——您考慮到的這種因素是否會拖累 2024 年的利潤率?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • No, I wouldn't say that the prebuilding is going to be a drag on the gross margin because as a matter of fact, what you're prebuilding this year, most of the material that you have for the prebuilding is already in, right?

    不,我不會說預建會拖累毛利率,因為事實上,今年預建的大部分材料已經到位,對吧?

  • So I think from that vantage point, I think there is no big impact on either the cash flow or the gross margin. Of course, the question is you prebuild, but then -- back to Peter's point. And Peter said what the -- in response to the question of the puts and takes from Mehdi on this year. Of course, we currently look at prebuild. It could be that some of those are pulled into 2024. So I would look at a prebuild more as an opportunity than as a threat to the gross margin.

    所以我認為從這個角度來看,我認為對現金流或毛利率沒有太大影響。當然,問題是你要預先構建,但是──回到彼得的觀點。彼得在回答邁赫迪今年的投籃問題時說了些什麼。當然,我們目前正在關注預先建置。其中一些可能會推遲到 2024 年。因此,我將預建更多地視為一個機會,而不是對毛利率的威脅。

  • Operator

    Operator

  • And your next question comes from the line of Francois Bouvignies from UBS.

    您的下一個問題來自瑞銀集團 (UBS) 的 Francois Bouvignies。

  • Francois-Xavier Bouvignies - Technology Analyst

    Francois-Xavier Bouvignies - Technology Analyst

  • So the first question I wanted to come back a bit to Stephane's question on 2025. And you said, Peter, that you thought low end was conservative for 2025. It was 3 months ago when you had the [EUR 5 million] EUV bookings. And as you know very well, analysts, we are very good like extrapolating trends. So we were very skeptical about these targets of the [EUR 500 million] of EUV bookings.

    所以第一個問題我想回到 Stephane 關於 2025 年的問題。Peter,你說你認為 2025 年低端產品是保守的。三個月前,你有 [500 萬歐元] EUV 預訂。分析師們,你們很清楚,我們非常喜歡推斷趨勢。因此,我們對這些 [5 億歐元] EUV 預訂目標非常懷疑。

  • Now looking this quarter with indeed this huge booking number in terms of EUV, which basically puts you in a much better position into 2025. And indeed, it's clearly supporting your comments 3 months ago. But I would like to know this Q4 orders, we know that it's very lumpy. It's always moving from 1 quarter to another very significantly.

    現在看看本季的 EUV 預訂量確實如此巨大,這基本上讓您在 2025 年處於更好的位置。事實上,它顯然支持您 3 個月前的評論。但我想知道第四季的訂單,我們知道它非常不穩定。它總是從一個季度到另一個季度的變化非常顯著。

  • To what extent Q4 orders is a pull-in effect? In other words, do you see still a healthy EUV activities? I don't expect the EUR 5.6 billion every quarter. I'm not saying that. But should we -- do you see already in Q1, the activity of EUV fairly healthy and in Q2? Or should we just expect Q4 as an exceptional and it will take a significant post? That's my first question.

    第四季訂單拉動效應有多大?換句話說,您認為 EUV 活動仍然健康嗎?我預計每季的營收不會達到 56 億歐元。我不是這麼說的。但是,您是否應該在第一季和第二季看到 EUV 的活動相當健康?或者我們應該只期待第四季度是一個例外並且它將佔據重要地位?這是我的第一個問題。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • I think generally EUR 9.2 billion is pretty exceptional because it was the highest order intake forever. So that's -- you don't -- you're right, we would not expect to top that every quarter.

    我認為總體而言 92 億歐元是相當特殊的,因為它是有史以來最高的訂單量。所以,你不知道,你是對的,我們不會期望每季都能達到這個目標。

  • Now I think, yes, the analysts are very good and extrapolating trends. But I have to warn you that trends in EUV order intake in our business with a few customers might be a very tricky thing to do to actually try to extrapolate it, which is actually proven by the order intake in Q3 and in Q4. It's lumpy, as you said.

    現在我認為,是的,分析師非常出色並推斷出趨勢。但我必須警告您,我們與少數客戶的業務中 EUV 訂單量的趨勢可能是一件非常棘手的事情,要實際嘗試推斷它,這實際上已由第三季度和第四季度的訂單量證明。正如你所說,它是塊狀的。

  • And it has to do with a couple of things. I mean, in Q4, also clearly, the -- as a part of the EUV order intake had to do with the obvious technology transitions needed in the DRAM space to support AI. That will continue.

    這與幾件事有關。我的意思是,在第四季度,同樣明顯的是,作為 EUV 訂單的一部分,與 DRAM 領域支援人工智慧所需的明顯技術轉型有關。這將繼續下去。

  • But I think all in all, in 2025, when we look at a recovery of these -- of the cycle and have a full year recovery. On top of that, the new fabs. Yes, we need to see a healthy order intake for EUV in the first half of this year of 2024. Just like I said earlier, we have 12 to 18 months for a lead time, so that needs to happen. And I think this is what we need to see.

    但我認為總而言之,到 2025 年,當我們看到這些週期的復甦時,就會出現一整年的復甦。最重要的是,新的晶圓廠。是的,我們需要在 2024 年上半年看到 EUV 的訂單量保持健康。正如我之前所說,我們有 12 到 18 個月的交貨時間,因此需要實現這一點。我認為這就是我們需要看到的。

  • And then I don't think that Q4 -- yes, it was exceptionally high. It was a very good order intake. But for the good reasons. It's basically moving the EUV orders now into the 2025 delivery time frame, orders that we're now getting is not for 2024, it's for 2025. So that will have to continue and in my mind, will continue in the first half of 2024.

    然後我不認為第四季——是的,它特別高。這是一個非常好的訂單量。但有充分的理由。現在基本上是將EUV 訂單移至2025 年的交貨時間範圍內,我們現在收到的訂單不是2024 年的,而是2025 年的。所以這必須繼續下去,在我看來,將在2024 年上半年繼續下去。

  • So yes, may not be EUR 9.2 billion again in Q1 2024. But yes, in the first half, we need to see healthy further order intake and to support our 2025 view. Absolutely.

    所以,是的,2024 年第一季可能不會再次達到 92 億歐元。但是,是的,在上半年,我們需要看到進一步健康的訂單量並支持我們的 2025 年觀點。絕對地。

  • Francois-Xavier Bouvignies - Technology Analyst

    Francois-Xavier Bouvignies - Technology Analyst

  • Great. And maybe a follow-up to the Memory migration. I mean, this quarter, what is really outstanding is the split of memory within EUV, which is like 50%, I mean, roughly, which is very high compared to history. So to support exactly the migration you are describing.

    偉大的。也許是記憶體遷移的後續行動。我的意思是,這個季度真正突出的是 EUV 記憶體的分割,大約是 50%,我的意思是,粗略地說,與歷史相比非常高。因此,為了準確支持您所描述的遷移。

  • Now we know that the Memory market is -- has low utilization rate right now and is recovering, but still fairly low. So I would assume -- I mean, to which extent, given the low utilization, they can migrate more, they have -- it's many off-line tools so they can migrate, spend a lot of time on it.

    現在我們知道記憶體市場目前利用率較低且正在復蘇,但仍然相當低。所以我會假設——我的意思是,在多大程度上,考慮到利用率低,他們可以遷移更多,他們有——有很多離線工具,所以他們可以遷移,花很多時間在上面。

  • And to which extent agitation grows, they will put the brakes on the migration? Do you see what I mean? I mean -- in other words, should we expect the memory as investing significantly right now, but then will slow down as the industry comes back? Or should we expect a fundamental line, growing line because you have a lot of wafers to migrate anyway? And therefore, we won't see so much lumpiness. Do you see what I mean?

    暴動發展到什麼程度,他們就會阻止移民?你明白我的意思嗎?我的意思是——換句話說,我們是否應該預期內存現在會大量投資,但隨著行業的復甦,投資將會放緩?或者我們應該期待一條基本的生產線,不斷增長的生產線,因為無論如何都有很多晶圓需要遷移?因此,我們不會看到那麼多的塊狀現象。你明白我的意思嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Not entirely, but I do believe that what is important to us as you look at these memory cycles as this is game of chicken. So it's -- you build the capacity, there's always big step-ups, the underlying growth pattern is also more regular.

    不完全是這樣,但我確實相信,當你看到這些記憶體週期時,對我們來說重要的是,因為這是一場「膽小鬼遊戲」。所以,你建立了能力,總是會有很大的進步,潛在的成長模式也更規律。

  • So you have these times where you have overcapacity. I think that's just part of it today. We are going through this cycle. We just see the utilization rates of our memory tools going up. I think EUV is always in that sense, cash. You don't buy loosely extra EUV systems in dynamic space. That's always going to be the gating item.

    因此,有時會出現產能過剩的情況。我想這只是今天的一部分。我們正在經歷這個週期。我們只是看到我們的記憶工具的利用率正在上升。我認為 EUV 在這個意義上始終是現金。您不會在動態空間中購買寬鬆的額外 EUV 系統。這永遠是門控項目。

  • Now if you then come to the conclusion that you need more EUV because DDR5 and HBM is where the external demand is, then there's not much to migrate. You just need more, so -- and I think that's on the back of a cyclical recovery because inventories are being consumed.

    現在,如果您得出的結論是您需要更多 EUV,因為 DDR5 和 HBM 是外部需求所在,那麼就沒有太多需要遷移的了。你只是需要更多,所以——我認為這是周期性復甦的結果,因為庫存正在消耗。

  • So that migration, having the space to do migration or that -- I don't see that. It might be available in the non-EUV space, but in the EUV space, that is actually scarce tool, which you don't have available in abundance, and that tool will be the first to be fully utilized.

    因此,遷移、有空間進行遷移或其他——我不認為這一點。它可能在非 EUV 領域可用,但在 EUV 領域,這實際上是稀缺工具,您沒有大量可用的工具,而且該工具將是第一個被充分利用的工具。

  • So I don't know whether it's answering your question, but it's just -- I'm just trying to think of what you meant or what the other question meant. But I think the recovery in Memory is cyclical. And on top of that, I think we have the technology transition into DDR and into HBM. And that drives Memory. And I don't think it's going to be something that is very short lived, i.e., 1 or 2 quarters. This will continue.

    所以我不知道它是否回答了你的問題,但這只是——我只是想想你的意思或其他問題的意思。但我認為記憶的恢復是週期性的。最重要的是,我認為我們已經實現了向 DDR 和 HBM 的技術過渡。這會驅動記憶。我認為這不會是非常短暫的事情,就是一兩個季度。這將繼續下去。

  • Operator

    Operator

  • And the next question comes from the line of Tammy Qiu from Berenberg.

    下一個問題來自 Berenberg 的 Tammy Qiu。

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • First one is on High-NA. So there has been some concerns relating to High-NA EUV being too expensive to be used for things like 1.4 nanometer. So do you say if you have any feedback on customers' current development process? I know we're still at early stage that is in going to be the best option when you go to 1.4 nanometer?

    第一個是高數值孔徑。因此,有人擔心高數值孔徑 EUV 太昂貴,無法用於 1.4 奈米等技術。那麼您說您對客戶目前的開發流程有什麼回饋嗎?我知道我們仍處於早期階段,當你轉向 1.4 奈米時,這將是最好的選擇?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes, I think it's a good question because we always need to answer or ask that question to ourselves and to the customers, whether we feel that the next-generation lithography tool is economic for our customers as you know, Moore's law is a big law of economics.

    是的,我認為這是一個很好的問題,因為我們總是需要向自己和客戶回答或提出這個問題,我們是否認為下一代光刻工具對我們的客戶來說是經濟的,正如你所知,摩爾定律是一條大定律經濟學的。

  • Now having said that, there is no doubt in my mind now that the High-NA (inaudible) of all our customers that are using EUV is the right choice from an economic point of view. We kept that corroborated now very clearly through our customer contacts, and that used to be a question some time ago.

    話雖如此,我現在毫無疑問地認為,從經濟角度來看,我們所有使用 EUV 的客戶的高 NA(聽不清楚)是正確的選擇。我們現在透過客戶聯絡人非常清楚地證實了這一點,而這在前一段時間曾經是一個問題。

  • But I think everything that we're currently seeing and also looking at alternative patterns of multi-patterning Low-NA EUV, High-NA is very clearly in -- very clearly the most cost-effective solution. I think that is also driven by the answer that Roger gave that is as we've had -- he said last year, we have double-digit orders in the order book, and as I said in every quarter, we comfortably add a couple of those. Those customers give us those orders because they do those calculations and they see this.

    但我認為我們目前看到的一切以及正在研究的多圖案低NA EUV、高NA的替代模式都非常明顯是最具成本效益的解決方案。我認為這也是由羅傑給出的答案驅動的,就像我們一樣——他去年說,我們的訂單簿中有兩位數的訂單,正如我在每個季度所說的那樣,我們輕鬆地添加了幾個那些。這些客戶給我們這些訂單是因為他們做了這些計算並且看到了這一點。

  • So yes, I can understand the question. I think our confidence that it's the most cost-effective solution, both in Memory and Logic, Logic and Memory has only gone up.

    所以是的,我可以理解這個問題。我認為我們對它是記憶體和邏輯、邏輯和記憶體方面最具成本效益的解決方案的信心有增無減。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • I think the commitment of customers is not just visible in the orders, but for instance, also, as you saw, 1 of our customers has entered into a joint research center, which is really focused on this and on the utilization of High-NA. So I think that's another very strong underpinning of the fact that customers do believe that this is an important way forward.

    我認為客戶的承諾不僅在訂單中可見,而且例如,正如您所看到的,我們的一位客戶已經進入了一個聯合研究中心,該中心真正專注於此以及高數值孔徑的利用。因此,我認為這是客戶確實相信這是一條重要的前進道路這一事實的另一個非常強大的基礎。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Absolutely. And I think the fact that our launching customer of High-NA was very happy that we were shipping on time and the pressure that they rightfully put on us was also felt throughout our entire reorganization. We have to be on time because this is the tool that they need.

    絕對地。我認為,我們的 High-NA 啟動客戶對我們按時發貨感到非常高興,並且在我們整個重組過程中也感受到了他們理所當然地施加在我們身上的壓力。我們必須準時,因為這是他們需要的工具。

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • Amazing. And also another follow-up regarding Memory adoption of High-NA. So my understanding is, so Memory will be on Low-NA EUV for a few years. How would you view this High-NA adoption time line for Memory versus 3D DRAM?

    驚人的。還有關於高數值孔徑記憶體採用的另一個後續行動。所以我的理解是,記憶體將在低數值孔徑 EUV 上運行幾年。您如何看待記憶體與 3D DRAM 的高數值孔徑採用時間表?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Versus 3D DRAM?

    與 3D DRAM 相比?

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • Yes, I mean which one -- which will come first?

    是的,我的意思是哪一個──哪個會先出現?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • I don't think that's a question. I think High-NA will be introduced way before 3D DRAM. So it will just be introduced, and I think will be introduced in about the same time frame as Logic. So it's not a competing technology in that sense from the timing point of view.

    我不認為這是一個問題。我認為 High-NA 將在 3D DRAM 之前引入。所以它只會被引入,而且我認為將在與 Logic 大約相同的時間範圍內引入。因此,從時間的角度來看,它不是一種競爭技術。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • And that's also clear on the order intake. So in the order intake that we see for High-NA, we equally see Memory orders for High-NA as we see Logic orders. So I think that is -- it is clear that customers are looking at the same time frame for the introduction of High-NA, both into Logic and into Memory.

    這在訂單量上也很明顯。因此,在我們看到的高 NA 的訂單攝取量中,我們同樣看到高 NA 的記憶體訂單和邏輯訂單。所以我認為,很明顯,客戶正在考慮在邏輯和記憶體中引入 High-NA 的相同時間框架。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • And not considering any trade-off against the 3 DRAM introduction. That's not -- that's not on the road map.

    並且不考慮對 3 DRAM 的引入進行任何權衡。那不是——那不在路線圖上。

  • Operator

    Operator

  • And your next question comes from the line of Didier Scemama from Bank of America.

    您的下一個問題來自美國銀行的 Didier Scemama。

  • Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

    Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

  • It's Didier from Bank of America. My first question, Peter, when we see this big wave of AI orders coming from the memory vendors and coming from foundries. I just wonder, related to your point earlier that HBM and DDR5 could drive an upside to that 30% contribution to EUV volumes in 2025. Do you think there is upside to your potential demand capacity limit. You've got like 75, 80 units from HBM first or from others?

    我是美國銀行的迪迪爾。我的第一個問題,Peter,當我們看到來自記憶體供應商和代工廠的人工智慧訂單大潮時。我只是想知道,與您之前的觀點相關,即 HBM 和 DDR5 可能會在 2025 年對 EUV 銷售的貢獻增加到 30%。您認為您的潛在需求容量限制有上升空間嗎?您首先從 HBM 還是從其他公司獲得了 75、80 個單位?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • That's a very good question. That's something that we need to consider very carefully. We have said our capacity buildout will be 90 EUV Low-NA systems, 20 High-NA whereby internally, we are looking at that number as a kind of a base number where we're investigating whether that number should be higher.

    這是一個非常好的問題。這是我們需要非常仔細考慮的事情。我們已經說過,我們的產能建設將是 90 個 EUV 低 NA 系統和 20 個高 NA 系統,在內部,我們正在將該數字視為一種基數,我們正在調查該數字是否應該更高。

  • The question is whether that 90 is going to be enough. Now we have to realize, we are selling wafer capacity, which is not only a function of the number of units, but also a function of the productivity of those tools. Now we have a pretty aggressive road map for the productivity in terms of wafers per hour.

    問題是 90 是否足夠。現在我們必須意識到,我們正在銷售晶圓產能,這不僅與單位數量有關,而且與這些工具的生產率有關。現在,我們針對每小時晶圓產能製定了一個相當積極的路線圖。

  • So it's a complex question that you're asking. But actually, we need to look at this especially against the math that we're seeing for little requirements in the area of AI, whether it's HBM or whether it is Logic, whether the number of units and the road map on productivity, which gives wafers because the combination is wafer capacity, whether that is sufficient.

    所以你問的是一個複雜的問題。但實際上,我們需要特別針對人工智慧領域的數學要求來看待這一點,無論是 HBM 還是邏輯,無論是單元數量還是生產力路線圖,這都給出了晶圓,因為組合是晶圓產能,是否足夠。

  • That is a constant thing we need to look at, and that's going to be something that -- I think is going to be very central when we do our Capital Markets Day by the end of the year. I think we're going to give you -- it also gives us a bit of time to actually engage with our customers to really understand those requirements and translate that into units and productivity.

    這是我們需要持續關注的事情,我認為當我們在年底舉行資本市場日時,這將是非常重要的事情。我認為我們將為您提供一些時間來與客戶實際接觸,以真正了解這些要求並將其轉化為單位和生產力。

  • So good question, Didier. But I'll have to refer to the Capital Markets Day by the end of the year where we're going to be a bit more detail, but this is exactly what we are now looking at.

    問得好,迪迪爾。但我必須提及今年年底的資本市場日,屆時我們將提供更多細節,但這正是我們現在正在關注的內容。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Because Didier, it's also pretty clear that this is not a '24, '25 or '26 question, right? This is longer term. And in that context, we -- absolutely, to Peter's point, we need to look about the ramifications of AIR, but they really are long-term and therefore, will be addressed in our 2030 scenarios at the (inaudible).

    因為 Didier,很明顯這不是一個 '24、'25 或 '26 的問題,對嗎?這是更長期的。在這種情況下,我們絕對需要考慮 AIR 的影響,但它們確實是長期的,因此將在我們的 2030 年情景中解決(聽不清楚)。

  • Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

    Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

  • Super. Maybe my follow-up is a follow-up to Tammy's question. I'm going to be -- so on the High-NA system bookings that you had this quarter, can you say whether you had more than 1 customer placing orders or not?

    極好的。也許我的後續行動是塔米問題的後續行動。我想說的是,在本季的 High-NA 系統預訂中,您能否說明是否有超過 1 位客戶下訂單?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • We could just say that all our customers have placed the orders.

    我們只能說我們所有的客戶都已經下訂單了。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • But not in the quarter to be...

    但不是在本季...

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • So that would be a lot more...

    那麼這樣就多了很多...

  • Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

    Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

  • All of them have placed orders in the double digits you initially talked about, I just wonder whether -- because you made the point, Peter, that now you know from your customers test that High-NA is more economical than Low-NA and there were some bloggers out there, people, who talk a lot who said that High-NA is not economical. And so I just wonder whether you could break that bear case once and for all.

    他們所有人都以您最初談到的兩位數下了訂單,我只是想知道是否 - 因為您指出了這一點,彼得,現在您從客戶測試中知道高 NA 比低 NA 更經濟,並且有有些部落客說了很多,他們說高NA 不經濟。所以我只是想知道你是否能一勞永逸地打破這種悲觀的局面。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. Didier, I made it very clear earlier on the call why it is that we are not being very detailed on our comments on High-NA. And I think for that precise reason, we're not going to give the color and context that you're looking for.

    是的。 Didier,我早些時候在電話會議上明確表示了為什麼我們沒有非常詳細地闡述對 High-NA 的評論。我認為正是出於這個原因,我們不會提供您正在尋找的顏色和背景。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • It's very simple. It's -- Didier, it is only a few tools. And as a customer, you know whether you did place your order or you didn't. So they actually make that very simple game and then we get all these questions -- and our sales force is already under pressure, and we don't want to put more pressure on these guys than necessary.

    這很簡單。它是——Didier,這只是一些工具。作為客戶,您知道自己是否下訂單。所以他們實際上製作了一個非常簡單的遊戲,然後我們得到了所有這些問題 - 我們的銷售人員已經面臨壓力,我們不想給這些人不必要的壓力。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • But you've got an a for effort, Didier, for sure.

    但迪迪埃,你肯定有努力的動力。

  • Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

    Didier Scemama - Director in EMEA Equity Research & Head of European IT Hardware

  • And Peter, I hope -- do we hear you on the next earnings call? Or is that your last earnings call?

    彼得,我希望──我們能在下一次財報電話會議上聽到你的聲音嗎?還是這是您最後一次財報電話會議嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, no, I think I will definitely be there whether I will talk a lot. I don't know, but you will hear me.

    嗯,不,我想我一定會去的,不管我會講多少。我不知道,但你會聽到我的。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • I think he will, Didier.

    我想他會的,迪迪耶。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • But you can be really laughing at some answers, but that's no that's now (inaudible) Yes, yes, yes, it's just going to be fun for you, yes. No, I will definitely be there. Also -- but I think Christophe and Roger and myself will definitely do that. But I'm pretty sure that you want to hear Christophe also giving his view on what lies ahead.

    但你可能會對某些答案大笑,但這不是現在的情況(聽不清楚)是的,是的,是的,這對你來說會很有趣,是的。不,我一定會在那裡。另外——但我認為克里斯托夫、羅傑和我自己肯定會這麼做。但我很確定您想聽到克里斯托夫也對未來發表自己的看法。

  • Operator

    Operator

  • And the next question comes from the line of Andrew Gardiner from Citi.

    下一個問題來自花旗銀行的安德魯‧加德納 (Andrew Gardiner)。

  • Andrew Michael Gardiner - Research Analyst

    Andrew Michael Gardiner - Research Analyst

  • You've spoken a lot about the 2025 outlook around the state of the cycle and process node development. And we haven't spoken as much today on the sort of all of the new fabs that are being built around the world. So an unprecedented level of activity.

    您已經就循環和流程節點開發狀態的 2025 年前景談了很多。今天我們還沒有過多談論世界各地正在建造的所有新晶圓廠。如此活躍的程度是空前的。

  • And there has been sort of various press at different points over the last how many quarters about sort of delays and pushouts and some challenges, be it in terms of labor force or CHIPS Act money, that kind of thing. I'm just wondering if you can give us an update in terms of your -- from your point of view in speaking with the customers.

    在過去的幾個季度裡,在不同的時間點,有各種各樣的媒體報導了一些關於延遲、推遲和一些挑戰的消息,無論是在勞動力方面還是在《CHIPS 法案》資金方面,諸如此類的事情。我只是想知道您是否可以從您與客戶交談的角度向我們提供最新情況。

  • Have things been moving around at all in terms of the key customers and their plans for these new fabs and the tooling stores? Or is it pretty rock solid from your point of view and therefore, and evident perhaps in that EUR 9 billion number?

    就主要客戶及其對這些新晶圓廠和模具商店的計劃而言,事情是否有進展?或者從您的角度來看,它是否堅如磐石,因此,也許在 90 億歐元的數字中就很明顯?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think a good question, Andrew. I think the longer-term let's say, positions that customers have taken are pretty rock solid. I think they will happen. Now the question is about timing and timing could be a function of the things that you just mentioned. I mean is there enough capable resources or are there capable resources, people. Will permits and/or all the things that are necessary like energy and water will all be available on time. Those are, you could say, tactical and operational considerations that are always there.

    是的。我認為這是個好問題,安德魯。我認為從長遠來看,客戶所採取的立場非常穩固。我認為它們會發生。現在的問題是關於時間安排,而時間安排可能是您剛才提到的事情的函數。我的意思是是否有足夠的有能力的資源,或有能力的資源、人員。是否會獲得許可和/或所有必需的東西(例如能源和水)都將按時提供。你可以說,這些是始終存在的戰術和操作考慮因素。

  • Now we have examples where we have indeed delays because of the things that you just mentioned. We also have examples where things are spot on time. So it's really very dependent on the specific situation of a specific fab.

    現在我們有一些例子,由於您剛才提到的事情,我們確實出現了延誤。我們也有一些事情準時完成的例子。所以這確實非常取決於特定晶圓廠的具體情況。

  • I think the intentions are very clear. We don't see any delay there. We could seek operational or delays that will push things back 6 months or 9 months that could happen. But generally, those fabs are there, those febs are there in the U.S., they're in Japan, they're in Taiwan, they're in Korea, they're in China, they're in Europe. I mean, we don't see any indication or any customer messaging to us that those things won't happen.

    我認為意圖非常明確。我們沒有看到任何延遲。我們可以尋求營運或延遲,將可能發生的事情推遲 6 個月或 9 個月。但總的來說,這些晶圓廠都在那裡,那些工廠在美國、日本、台灣、韓國、中國、歐洲。我的意思是,我們沒有看到任何跡像或任何客戶向我們發送的訊息表明這些事情不會發生。

  • Yes, there could be some regional issues. But we also have some good examples that give you Japan, for instance. Things will happen on the dot. So that's will probably also like to happen in Germany. So it's -- these are things that will happen. And in other areas, there might be more problems with, like you mentioned it, water, energy, people building restrictions and stuff like that. But that's normal. That's our business.

    是的,可能存在一些地區問題。但我們也有一些很好的例子,例如日本。事情會準時發生。所以這也可能發生在德國。所以,這些都是會發生的事情。在其他領域,就像你提到的那樣,水、能源、人員建築限制等方面可能有更多問題。但這是正常的。這是我們的事。

  • Andrew Michael Gardiner - Research Analyst

    Andrew Michael Gardiner - Research Analyst

  • Understood. And then just a quick mathematics clarification, if I could. Did you say EUR 38 billion or EUR 39 billion worth of ending backlog?

    明白了。如果可以的話,然後做一個快速的數學澄清。您說的是價值 380 億歐元還是 390 億歐元的結束積壓?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • EUR 39 billion.

    390億歐元。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • EUR 39 billion.

    390億歐元。

  • Andrew Michael Gardiner - Research Analyst

    Andrew Michael Gardiner - Research Analyst

  • And so if we think of the guidance you guys have set for '24, sort of the tool revenue within that, that implies that you've got about sort of mid-teens or so already booked for 2025. Is that a correct assumption?

    因此,如果我們考慮一下你們為 24 年設定的指導,其中的工具收入,這意味著你們已經為 2025 年預訂了大約十幾歲左右的收入。這是一個正確的假設嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • I think we're booking nicely into 2025. Now -- which also means that we need to still book a lot for the remainder of the year, but that's why we're still January of '24, we still have some time to go. But yes, we're booking nicely into 2025, especially for EUV.

    我認為我們在 2025 年的預訂情況很好。現在——這也意味著我們仍然需要在今年剩餘時間進行大量預訂,但這就是為什麼我們仍然是 24 年 1 月,我們還有一些時間。但是,是的,我們對 2025 年的預訂很好,尤其是 EUV。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • But when you say mid-teens, you do make the adjustment, obviously, for the Installed Base business, right, Andrew?

    但當你說十幾歲左右時,你顯然會為安裝基礎業務做出調整,對吧,安德魯?

  • Andrew Michael Gardiner - Research Analyst

    Andrew Michael Gardiner - Research Analyst

  • Yes.

    是的。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Because that obviously needs to be at -- then I get a bit higher than mid-teens. That's okay.

    因為這顯然需要達到——然後我會比十幾歲左右高一點。沒關係。

  • Operator

    Operator

  • And your next question comes from the line of C.J. Muse from Cantor Fitzgerald.

    你的下一個問題來自 Cantor Fitzgerald 的 C.J. Muse。

  • Christopher James Muse - Senior MD & Semiconductor Research Analyst

    Christopher James Muse - Senior MD & Semiconductor Research Analyst

  • I guess first question, focused on Memory. So a couple of parts here. Were you surprised that Memory ended up 10% for you. And if you think about the magnitude of the record orders in the December quarter, can you kind of separate [CX&P in to ashore] domestic China versus kind of the technology buys that you're seeing out of Korea and the U.S.

    我想第一個問題集中在記憶上。這裡有幾個部分。您對記憶力最終達到 10% 感到驚訝嗎?如果你考慮一下 12 月季度創紀錄訂單的規模,你能將 [CX&P 登陸] 中國國內的採購與你在韓國和美國看到的技術採購分開嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think very easy answer that technology buys are dominant. Yes. So -- and they're also very much focused on the technology transition, so EUV. And were we surprised? I must be -- I say, yes, to some extent, we were surprised in the meetings we've had with customers and especially the Memory because we're leading-edge Memory customers. We were surprised about the technology requirements of -- for litho, EUV specifically and how it impacts how important it is for the rollout and the ramp of the memory solutions for AI.

    是的。我認為答案很簡單:科技購買占主導地位。是的。因此,他們也非常關注技術轉型,例如 EUV。我們感到驚訝嗎?我必須——我說,是的,在某種程度上,我們對與客戶、尤其是記憶體客戶的會議感到驚訝,因為我們是領先的記憶體客戶。我們對光刻、特別是 EUV 的技術要求感到驚訝,以及它對人工智慧記憶體解決方案的推出和提升的重要性有何影響。

  • This is why we received more EUV orders than we anticipated because it was obvious in the detailed discussions and the reviews with our customers, that EUV is critical in that sense. And that was a bit of a surprise, that's a positive surprise. But that's what we learned. So yes, a surprise, yes...

    這就是為什麼我們收到的 EUV 訂單比我們預期的要多,因為在與客戶的詳細討論和評論中很明顯,EUV 在這個意義上至關重要。這有點令人驚訝,這是一個正面的驚喜。但這就是我們學到的。所以,是的,驚喜,是的......

  • Christopher James Muse - Senior MD & Semiconductor Research Analyst

    Christopher James Muse - Senior MD & Semiconductor Research Analyst

  • Sorry, was that a function of EUV layer count or perhaps where they're repurposing equipment? And so now they're realizing they need more footprint for EUV.

    抱歉,這是 EUV 層數的函數還是他們重新調整設備用途的函數?所以現在他們意識到他們需要更多的 EUV 足跡。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • No, it is layer count and imaging performance. And that's what led to the surprise, the positive surprise, which indeed led to more orders.

    不,是層數和成像性能。這就是帶來驚喜的原因,正面的驚喜,確實帶來了更多訂單。

  • Christopher James Muse - Senior MD & Semiconductor Research Analyst

    Christopher James Muse - Senior MD & Semiconductor Research Analyst

  • Perfect. And just a quick follow-up on High-NA. Can you kind of update us on your planned shipments and revenue for calendar '25 and how you expect to kind of shrink that time frame between shipping and revenuing? And as part of that number, how should we think about the overlay of Memory adoption into '25, '26, '27?

    完美的。這只是 High-NA 的快速跟進。您能否向我們介紹一下您在 25 日曆年的計劃發貨量和收入以及您希望如何縮短發貨和收入之間的時間範圍?作為該數字的一部分,我們應該如何考慮將記憶體採用疊加到「25」、「26」、「27」?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. So C.J., the revenue for 25, as you know at the Capital Markets Day, we expect 5 systems in revenue, and that's still the way we look at it. There will be more shipments, but it's a bit too early to say how exactly is the revenue recognition going to work is, as you know, we just shipped only the first modules of the first tool.

    是的。因此,C.J.,正如您在資本市場日所知,25 個系統的收入,我們預計 5 個系統的收入,這仍然是我們看待它的方式。將會有更多的發貨量,但現在說收入確認到底如何發揮作用還為時過早,如您所知,我們剛剛發貨了第一個工具的第一個模組。

  • It's a bit early. But the 5% in revenue, that's still the way we look at it. In terms of the introduction of High-NA into Memory, I think that's consistent with what we had at an earlier question. We do see the time frame of Memory and Logic adoption more or less in sync. So to us, that is more or less the same time frame.

    時間有點早了。但對於收入的 5%,我們仍然是這樣看待的。就將高數值孔徑引入記憶體而言,我認為這與我們在先前的問題中得到的一致。我們確實看到記憶體和邏輯採用的時間框架或多或少是同步的。所以對我們來說,這或多或少是相同的時間範圍。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Yes. So we have time for 1 last question. If you were unable to get through on this call and still have questions, please feel free to contact the ASML Investor Relations department with your question. Now operator, may we have the last caller, please?

    是的。我們有時間回答最後一個問題。如果您無法接通本次電話會議但仍有疑問,請隨時聯絡 ASML 投資者關係部門提出您的問題。現在接線員,請接聽最後一位來電者嗎?

  • Operator

    Operator

  • And the question comes from the line of Sandeep Deshpande from JPMorgan.

    這個問題來自摩根大通的 Sandeep Deshpande。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • I have a question to follow up somewhat on Didier's question. I mean there is this bear case on High-NA EUV. I mean when you look at your 2030 guidance, you look at you're guiding to about 20 High-NA EUV tools. But when you look that, if you remember, when you had guided the initial ramp of EUV, you had talked about 50-plus tools.

    我有一個問題需要跟進迪迪埃的問題。我的意思是,高數值孔徑 EUV 存在這種熊市情況。我的意思是,當您查看 2030 年指南時,您會看到大約 20 個高數值孔徑 EUV 工具。但當你看到這一點時,如果你還記得的話,當你指導 EUV 的初始提升時,你談到了 50 多種工具。

  • So is it that your guidance on High-NA EUV on 20 tools is a function of the throughput of the tool? Or is it a function on the adoption of the High-NA EUV into lithography -- into semiconductor production? Because there is this emerging case of that ASML itself is not so bullish on the adoption of High-NA EUV. And I have a quick follow-up.

    那麼,您對 20 種工具的高數值孔徑 EUV 的指導是否是工具吞吐量的函數?或者它是在光刻技術——半導體生產中採用高數值孔徑 EUV 的結果嗎?因為有一個新的案例顯示 ASML 本身並不那麼看好高數值孔徑 EUV 的採用。我有一個快速的跟進。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, it is the latter. It has nothing to do with the productivity of the tool. Although we will work on the productivity of the tool, which we always do to help our customers upgrade cost. But it's basically the adoption of High-NA litho because it's needed. It is needed in -- when you look at the customer road maps and the customer designs, that's what they need from a cost point of view.

    嗯,是後者。它與工具的生產力無關。儘管我們將致力於提高工具的生產力,但我們始終這樣做是為了幫助客戶降低成本。但這基本上是採用高數值孔徑光刻,因為它是需要的。當您查看客戶路線圖和客戶設計時,從成本角度來看,這就是他們所需要的。

  • So I don't know where the notion comes from that we at ASML are less bullish about High-NA or the adoption of High-NA, that's not the case. Okay. I mean -- I think on the contrary, we've seen in the interaction with our customers that all our customers now are convinced that High-NA is a more cost-effective solution as opposed to mobile patterning Low-NA. So yes, I don't know how to answer it differently.

    所以我不知道我們 ASML 不太看好 High-NA 或 High-NA 的採用的想法從何而來,事實並非如此。好的。我的意思是 - 我認為相反,我們在與客戶的互動中看到,我們所有的客戶現在都相信,與移動模式低 NA 相比,高 NA 是一種更具成本效益的解決方案。所以是的,我不知道如何以不同的方式回答這個問題。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • I mean -- and then another quick follow-up. I mean, in terms of your optimism, I mean, clearly, memory orders were much stronger than we expected in Q4, but there is all these new fabs, which are going to be built over the next 2, 3 years. I mean, and your customers would have given you what you need to ship to those fabs, et cetera.

    我的意思是——然後是另一個快速跟進。我的意思是,就您的樂觀情緒而言,我的意思是,顯然,第四季度的內存訂單比我們預期的要強得多,但所有這些新晶圓廠都將在未來2、3 年內建成。我的意思是,你的客戶會給你運送到那些晶圓廠等所需的東西。

  • But do you expect to sign up those Logic related? I mean, clearly, Memory is going to ramp. You've talked about it earlier. But on the Logic side, those orders will be signed up in the next few quarters for '25 and '26.

    但是你希望報名那些與邏輯相關的課程嗎?我的意思是,顯然,記憶體將會增加。你之前已經談過。但在 Logic 方面,這些訂單將在接下來的幾季簽署,用於 '25 和 '26。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think -- certainly for '25. I think when we look at our large Logic customers, there are still some large customers that still need to order, yes. So for 2025. It still has to come yes. Now of course, we're in discussions, this is clear. But I mean, you could also say that some are not in the order book that definitely need to be there if they want to have tools in 2025. So yes, it's something that you will see over the next couple of quarters.

    是的。我想——當然是25年。我認為當我們看看我們的大型邏輯客戶時,仍然有一些大客戶仍然需要訂購,是的。所以對於 2025 年,它仍然必須實現。當然,現在我們正在討論,這一點很清楚。但我的意思是,你也可以說,有些不在訂單簿中,但如果他們想在2025 年擁有工具,那麼肯定需要在那裡。所以,是的,你將在接下來的幾個季度看到這一點。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • So just to clarify, Peter, what I'm trying to understand is you will get the orders in advance rather than turns business orders that you sometimes recognize orders in the quarter, you shipped, et cetera. But you are demanding on these customers signed orders as such really.

    因此,為了澄清,彼得,我想了解的是,您將提前收到訂單,而不是轉變成業務訂單,您有時會在本季度確認訂單、發貨等。但你對這些客戶簽署訂單的要求確實如此。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • I mean, we will get those orders before we start shipping. I mean, that's clear. In the past, what we used to do, but now that's true. Some time ago, that is the advantage of being in this industry very long, so you have a good memory.

    我的意思是,我們將在開始發貨之前收到這些訂單。我的意思是,這很清楚。過去,我們經常這樣做,但現在確實如此。前一段時間,那就是在這個行業待的時間很長的好處,所以你記憶力很好。

  • In the past, we used to do this because we knew the customers who're having -- actually opened the fab, the pellicles were there, and we're still negotiating some terms and conditions. That was largely on deep UV, which the tool price is, of course, lower.

    過去,我們這樣做是因為我們知道客戶實際上已經開設了工廠,薄膜就在那裡,而我們仍在談判一些條款和條件。這主要是在深紫外線上,當然,工具價格較低。

  • Now you won't do that when your tools are the EUR 200 million or even EUR 350 million. I mean that's where the financial risk on both sides is a bit higher. So you want to get a little bit more certainty. So that practice might still be true for some, let's say, I wouldn't even call it cheaper tools, calling on a $70 million or $80 million tool a cheaper tools is a bit of anomaly. But I mean -- but that's what happened at that time, but I don't think it EUVs, that's going to be the case.

    現在,當您的工具達到 2 億歐元甚至 3.5 億歐元時,您就不會這樣做。我的意思是,這就是雙方的財務風險都較高的地方。所以你想要獲得更多的確定性。因此,這種做法對某些人來說可能仍然是正確的,比如說,我什至不會稱其為更便宜的工具,稱價值7000 萬美元或8000 萬美元的工具為更便宜的工具有點反常。但我的意思是——但這就是當時發生的事情,但我不認為 EUV 會是這樣。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • All right. Before we sign off, I'd like to remind you and mentioned a few times today and also in the video with Roger earlier, that we -- our Investor Day is currently planned to be held in Veldhoven on November 14 this year, and we hope you'll all be able to join us.

    好的。在我們結束之前,我想提醒您,並在今天以及之前與 Roger 的影片中多次提到,我們的投資者日目前計劃於今年 11 月 14 日在 Veldhoven 舉行,我們希望您都能加入我們。

  • Now on behalf of ASML, I'd like to thank you all for joining us today. Operator, if you could formally conclude the call, I'd appreciate it. Thank you.

    現在,我謹代表 ASML,感謝大家今天加入我們。接線員,如果您能正式結束通話,我將不勝感激。謝謝。

  • Operator

    Operator

  • Thank you. This concludes the ASML 2023 Fourth Quarter and Full Year Financial Results Conference Call. Thank you for participating. You may now disconnect.

    謝謝。 ASML 2023 年第四季及全年財務業績電話會議至此結束。感謝您的參與。您現在可以斷開連線。