艾司摩爾 (ASML) 2023 Q1 法說會逐字稿

內容摘要

為什麼重要

ASML 是先進製程 EUV 設備供應商,藉由公司電話會議能觀察台積電、三星以及 Intel 的製程進展。

故事背景

  • ASML 1 月法說:去年 Q4 營收 64 億歐元,預期 2023 Q1 營收季減 2%,2023 全年營收年增 25%。而客戶都預期下半年需求將回復,因此並未減少仍供不應求的曝光機訂單。
  • 近日市場傳聞,台積電擴產速度將放緩,出現延後拉貨 EUV 訂單。 ###發生了什麼 4/19 ASML 電話會議
  • Q1 營收年增 91% 達 67.4 億歐元,高於公司財測,由於系統安裝驗收速度加快。
  • Q1 訂單量年減 46% 至 37.5 億歐元,現行環境下,客戶增加訂單的速度會有所放緩。 ###接下來 ASML 展望
  • Q2 營收將在 65-70 億歐元之間,毛利率 50-51% ,並且 2023 全年展望保持不變。
  • 展望 2024,相較 2023 銷售出貨會是明確增長的一年。現在已經有相當一部分的需求被預訂了,需求遠超過公司製造能力。
  • 認為這次處於典型半導體下行循環,年底會有經濟復甦的跡象。 ###ASML中國市場
  • Q1 中國占 ASML 營收的 8%,約占積壓訂單的 20%。預計今年來自中國的收入將大幅回升。
  • 公司認為中國是重要的成熟製程市場,考慮 3-4 年內生產的電動車數量,會需要更多 28、45 nm 晶圓廠,市場低估成熟製程的重要性,因此 Q1 DUV 積壓訂單的 45-50% 來自中國。
  • 荷蘭政府管制措施,要轉化為立法並生效還需要一些時間。 ###他們怎麼說 彭博資訊分析師 Masahiro Wakasugi:「ASML Q1 淨訂單年減 46%,可能低於市場預期。儘管今年有望增長 25%,但其 2024 的銷售額可能暫時失去動力。4/19 宣布的訂單放緩與整個晶片製造設備產業趨勢相符,並可能在 2030 之前迎來強勁反彈。」

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Good day, and thank you for standing by. Welcome to the ASML 2023 First Quarter Financial Results Conference Call on April 19, 2023. (Operator Instructions) Please be advised that today's conference is being recorded. I would now like to hand the conference call over to Mr. Skip Miller. Please go ahead.

    美好的一天,謝謝你的支持。歡迎參加 2023 年 4 月 19 日舉行的 ASML 2023 第一季度財務業績電話會議。(操作員說明)請注意,今天的會議正在錄製中。我現在想將電話會議交給 Skip Miller 先生。請繼續。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, operator. Welcome, everyone. This is Skip Miller, Vice President of Investor Relations at ASML. Joining me today on the call are ASML's CEO, Peter Wennink; and our CFO, Roger Dassen. The subject of today's call is ASML's 2023 first quarter results. The length of this call will be 60 minutes, and questions will be taken in the order that they are received. This call is also being broadcast live over the Internet at asml.com.

    謝謝你,運營商。歡迎大家。我是 ASML 投資者關係副總裁 Skip Miller。今天和我一起參加電話會議的有 ASML 的首席執行官 Peter Wennink;以及我們的首席財務官 Roger Dassen。今天電話會議的主題是 ASML 的 2023 年第一季度業績。此電話會議的時長為 60 分鐘,問題將按照收到問題的順序進行處理。此電話會議還在 asml.com 上通過互聯網進行現場直播。

  • A transcript of management's opening remarks and a replay of the call will be available on our website shortly following the conclusion of this call. Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities laws. These forward-looking statements involve material risks and uncertainties.

    本次電話會議結束後不久,將在我們的網站上提供管理層開場白的文字記錄和電話會議的重播。在我們開始之前,我想提醒聽眾,管理層在本次電話會議上發表的評論將包括聯邦證券法意義上的前瞻性陳述。這些前瞻性陳述涉及重大風險和不確定性。

  • For a discussion of risk factors, I encourage you to review the safe harbor statement contained in today's press release and presentation found on our website at asml.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission. With that, I'd like to turn the call over to Peter Wennink for a brief introduction.

    關於風險因素的討論,我鼓勵您查看我們網站 asml.com 上今天的新聞稿和演示文稿中包含的安全港聲明,以及 ASML 的 20-F 表格年度報告和提交給美國證券交易委員會的其他文件。交易委員會。有了這個,我想把電話轉給 Peter Wennink 做一個簡短的介紹。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you, Skip. Welcome, everyone, and thank you for joining us for our first quarter 2023 results conference call. Before we begin the Q&A session, Roger and I would like to provide an overview and some commentary on the first quarter 2023 as well as provide our view of the coming quarters. And Roger will start with a review of our first quarter 2023 financial performance with some added comments on our short-term outlook, and I will complete the introduction with some additional comments on the current business environment and on our future business outlook. Roger, if you want...

    謝謝你,跳過。歡迎大家,並感謝您加入我們的 2023 年第一季度業績電話會議。在我們開始問答環節之前,羅杰和我想對 2023 年第一季度進行概述和一些評論,並提供我們對未來幾個季度的看法。羅傑將首先回顧我們 2023 年第一季度的財務業績,並對我們的短期前景發表一些補充評論,我將完成介紹,並對當前的商業環境和我們未來的業務前景發表一些補充評論。羅傑,如果你想...

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Thank you, Peter, and welcome, everyone. I will first review the first quarter financial accomplishments and then provide guidance on the second quarter of 2023. Let me start with our first quarter accomplishments. Net sales came in at EUR 6.7 billion, which was above our guidance due to higher-than-expected EUV and deep UV revenue from faster installation and earlier acceptance of systems in the quarter.

    謝謝你,彼得,歡迎大家。我將首先回顧第一季度的財務成就,然後提供 2023 年第二季度的指導。讓我從第一季度的成就開始。淨銷售額為 67 億歐元,高於我們的指引,因為本季度更快的安裝和更早的系統驗收帶來了高於預期的 EUV 和深紫外線收入。

  • We shipped 9 EUV systems and recognized EUR 2.9 billion revenue from 17 systems this quarter. Net system sales were at EUR 5.3 billion, which was again driven by logic at 70% with the remaining 30% coming from memory.

    本季度我們出貨了 9 個 EUV 系統,並從 17 個系統中確認了 29 億歐元的收入。淨系統銷售額為 53 億歐元,再次由 70% 的邏輯驅動,其餘 30% 來自內存。

  • Installed Base Management sales for the quarter came in at EUR 1.4 billion, which was lower than guided due to less upgrade revenue. Gross margin for the quarter came in at 50.6%, which is above our guidance, primarily driven by additional EUV and deep UV immersion revenue in the quarter, which more than outweighed the impact of lower-than-expected upgrade business. On operating expenses, R&D expenses came in at EUR 948 million, which was below our guidance primarily due to exchange rate effects and some one-offs.

    本季度安裝基礎管理銷售額為 14 億歐元,由於升級收入減少,低於預期。本季度的毛利率為 50.6%,高於我們的指引,這主要是受本季度額外的 EUV 和深紫外線浸入式收入推動,這超過了低於預期的升級業務的影響。在運營費用方面,研發費用為 9.48 億歐元,低於我們的指導,這主要是由於匯率影響和一些一次性費用。

  • SG&A expenses were EUR 260 million, also lower than guided primarily due to lower IT spending and timing of headcount additions. Net income in Q1 was EUR 2 billion, representing 29% of net sales and resulting in an EPS of EUR 4.96. Turning to the balance sheet. We ended the first quarter with cash, cash equivalents and short-term investments at a level of EUR 6.7 billion. Moving to the order book.

    SG&A 費用為 2.6 億歐元,也低於指導值,這主要是由於 IT 支出減少和新增員工的時間安排。第一季度的淨收入為 20 億歐元,占淨銷售額的 29%,每股收益為 4.96 歐元。轉向資產負債表。第一季度結束時,我們的現金、現金等價物和短期投資為 67 億歐元。轉到訂單簿。

  • Q1 net system bookings came in at EUR 3.8 billion, which is made up of EUR 1.6 billion for EUV bookings and EUR 2.2 billion for non-EUV bookings. These values also include inflation corrections. Net system bookings in the quarter were driven by Logic with 79% of the bookings, while memory accounted for the remaining 21%. Bookings are lower than in previous quarters, which is not unexpected given the current environment, particularly taking into account our backlog at end of Q1 of around EUR 39 billion, which is almost 2x this year's system sales.

    第一季度淨系統預訂額為 38 億歐元,其中 EUV 預訂額為 16 億歐元,非 EUV 預訂額為 22 億歐元。這些值還包括通貨膨脹修正。本季度淨系統預訂由邏輯驅動,佔預訂的 79%,而內存佔剩餘的 21%。預訂量低於前幾個季度,鑑於當前環境,這並不意外,特別是考慮到我們在第一季度末的積壓訂單約為 390 億歐元,幾乎是今年系統銷售額的兩倍。

  • With that, I would like to turn to our expectations for the second quarter of 2023. We expect Q2 net sales to be between EUR 6.5 billion and EUR 7 billion. We expect our Q2 Installed Base Management sales to be around EUR 1.3 billion. Gross margin for Q2 is expected to be between 50% and 51%. The expected R&D expenses for Q2 are around EUR 990 million, and SG&A is expected to be around EUR 275 million. The higher R&D guidance is primarily due to investments in support of our continuous innovation as we further extend our product road maps and improve our installed base performance.

    說到這裡,我想談談我們對 2023 年第二季度的預期。我們預計第二季度的淨銷售額將在 65 億至 70 億歐元之間。我們預計我們第二季度的 Installed Base Management 銷售額約為 13 億歐元。第二季度的毛利率預計在 50% 至 51% 之間。第二季度的預計研發費用約為 9.9 億歐元,SG&A 預計約為 2.75 億歐元。更高的研髮指導主要是由於投資支持我們的持續創新,因為我們進一步擴展了我們的產品路線圖並提高了我們的安裝基礎性能。

  • Higher SG&A is mainly due to additional headcount and associated infrastructure support. Our estimated 2023 annualized effective tax rate is expected to be between 15% and 16%. In Q1, ASML paid a quarterly interim dividend of EUR 1.37 per ordinary share. Recognizing the 3 interim dividends of EUR 1.37 per ordinary share, each paid in 2022 and 2023, this leads to a final dividend proposal to the general meeting of EUR 1.69 per ordinary share. This will result in a total dividend for the year 2022 of EUR 5.80 per ordinary share, which is a 5.5% increase compared to 2021.

    更高的 SG&A 主要是由於額外的員工人數和相關的基礎設施支持。我們估計 2023 年的年化有效稅率預計在 15% 至 16% 之間。第一季度,ASML 支付了每股普通股 1.37 歐元的季度中期股息。考慮到 2022 年和 2023 年支付的每普通股 1.37 歐元的 3 次中期股息,這導致向股東大會提議的末期股息為每股普通股 1.69 歐元。這將導致 2022 年每股普通股的總股息為 5.80 歐元,比 2021 年增加 5.5%。

  • In Q1 2023, we purchased around 0.7 million shares for a total amount of around EUR 400 million. In the current uncertain market environment, it is prudent that we continue to manage higher levels of cash as the entire value chain will likely create some pressure on our free cash flow. With that, I would like to turn the call back over to Peter.

    2023 年第一季度,我們購買了約 70 萬股股票,總金額約為 4 億歐元。在當前不確定的市場環境下,我們繼續管理更高水平的現金是謹慎的做法,因為整個價值鏈可能會對我們的自由現金流造成一些壓力。有了這個,我想把電話轉回給彼得。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you, Roger. As Roger has highlighted, we had a good first quarter, above our guidance in a very dynamic environment. And there continues to be a lot of uncertainty in the market due to a number of global macro concerns around inflation, rising interest rates, recession and the geopolitical environment, including export controls. Customers continue to see demand weakness in consumer-driven end markets, causing the industry to actively reduce inventory and lower the utilization of their production base.

    謝謝你,羅傑。正如羅傑所強調的那樣,我們在第一季度表現良好,在一個充滿活力的環境中超出了我們的指導。由於對通脹、利率上升、經濟衰退和地緣政治環境(包括出口管制)的一系列全球宏觀擔憂,市場仍然存在很多不確定性。客戶繼續看到消費者驅動的終端市場需求疲軟,導致該行業積極減少庫存並降低其生產基地的利用率。

  • While demand in other end markets such as automotive and industrial remains relatively strong, specifically memory customers are more aggressively lowering CapEx and are limiting wafer output to reduce inventory to more healthy levels. Logic customers are also moderating wafer output for some market segments while demand continues to be strong in other markets, especially in markets requiring more mature nodes. Despite this, both logic and memory customers are still following their technology road maps and continue making strategic technology investments. As a result of this market dynamic, we do see customers making adjustments to demand timing relative to last quarter.

    雖然汽車和工業等其他終端市場的需求仍然相對強勁,但內存客戶正在更積極地降低資本支出,並限制晶圓產量以將庫存降至更健康的水平。邏輯客戶也在降低某些細分市場的晶圓產量,而其他市場的需求依然強勁,尤其是需要更成熟節點的市場。儘管如此,邏輯和內存客戶仍在遵循他們的技術路線圖並繼續進行戰略技術投資。由於這種市場動態,我們確實看到客戶相對於上一季度調整了需求時間。

  • However, we also see other customers more than willing to absorb this demand change, particularly in deep UV. For example, Chinese domestic customers focusing on mid-critical and mature applications, which make up over 30% of our backlog at the end of Q1 are now expected to grow to a similar allocation of our system revenue this year. After taking these demand adjustments over the quarter into account, our systems demand still exceeds our capacity for this year, albeit by a smaller margin in the last quarter. And as a reference, during 2022, the demand for deep UV was 50% higher than our build capacity, while this gradually reduced from 30% at the end of Q4 2022 to 20% at the end of Q1 2023.

    然而,我們也看到其他客戶更願意接受這種需求變化,尤其是在深紫外線方面。例如,專注於中關鍵和成熟應用的中國國內客戶在第一季度末占我們積壓訂單的 30% 以上,現在預計今年將增長到我們系統收入的類似分配。考慮到本季度的這些需求調整後,我們的系統需求仍然超過了我們今年的產能,儘管上個季度的幅度較小。作為參考,在 2022 年期間,對深紫外線的需求比我們的建設能力高出 50%,而這從 2022 年第四季度末的 30% 逐漸減少到 2023 年第一季度末的 20%。

  • As Roger mentioned, we saw orders moderate in Q1 after several quarters of very strong bookings. A moderation in the rate at which customers are adding orders is to be expected in the current environment, especially considering the long period in which our backlog can cover shipments, which extends well beyond our normal order lead times. With regard to our total system capacity, we are still planning to ship around 60 EUV systems and around 375 deep UV systems in 2023 with around 25% of the deep UV systems being immersion.

    正如 Roger 所提到的,在經歷了幾個季度非常強勁的預訂之後,我們看到第一季度的訂單有所減少。在當前環境下,預計客戶增加訂單的速度會有所放緩,特別是考慮到我們的積壓訂單可以覆蓋發貨的長期時間,這遠遠超出了我們正常的訂單交貨時間。關於我們的總系統容量,我們仍計劃在 2023 年出貨約 60 個 EUV 系統和約 375 個深紫外線系統,其中約 25% 的深紫外線系統是浸入式的。

  • We currently see no change in our full year outlook as provided last quarter. As a reminder, we expect EUV business growth to be around 40% over 2022 and non-EUV business growth of around 30%.

    我們目前認為上個季度提供的全年展望沒有變化。提醒一下,我們預計到 2022 年 EUV 業務增長將達到 40% 左右,非 EUV 業務增長將達到 30% 左右。

  • For the installed base business, we still expect year-over-year revenue growth of around 5%. And in summary, based on our view today, we continue to expect a strong -- a year of strong growth with a net sales increase of over 25% and a slight improvement in gross margin. To summarize, our short- to medium-term business outlook is still very strong, supported by a backlog that represents almost 2 years of tool shipments, continuously pushing our output capacity to the maximum and further underpinning our plan to expand our capacity. On the geopolitical front, as it relates to export controls, we're still waiting for the Dutch government to publish further details on the export control restrictions.

    對於安裝基礎業務,我們仍預計收入同比增長 5% 左右。總而言之,根據我們今天的觀點,我們繼續預計強勁增長的一年,淨銷售額增長超過 25%,毛利率略有改善。總而言之,我們的中短期業務前景仍然非常強勁,這得益於近 2 年工具出貨量的積壓,不斷將我們的產能推向最大,並進一步支持我們擴大產能的計劃。在地緣政治方面,由於涉及出口管制,我們仍在等待荷蘭政府公佈有關出口管制限制的更多細節。

  • These new export controls focus on advanced chip manufacturing technology. Due to these upcoming regulations, ASML will need to apply for export licenses for shipments of the most advanced immersion deep UV systems. And as we have said earlier, we interpret most advanced to pertain to Twinscan NXT:2000i and subsequent immersion systems. And it will take some time for these controls to be translated into legislation and take effect. Based on the announcement last month, our expectation of the Dutch government's licensing policy, the current market developments and the way we model our longer-term scenarios, we do not expect a material effect on our 2023 financial outlook or on our longer-term scenarios as announced during our Investor Day in November last year.

    這些新的出口管制重點是先進的芯片製造技術。由於這些即將出台的法規,ASML 需要為最先進的浸入式深紫外線系統的出貨申請出口許可證。正如我們之前所說,我們將最高級解釋為與 Twinscan NXT:2000i 和後續浸入式系統有關。這些控制措施要轉化為立法並生效還需要一些時間。根據上個月的公告、我們對荷蘭政府許可政策的預期、當前的市場發展以及我們為長期情景建模的方式,我們預計不會對我們的 2023 年財務前景或我們的長期情景產生重大影響正如我們在去年 11 月的投資者日宣布的那樣。

  • And despite the clear shorter-term cyclical concerns, the longer-term global mega trends we talked about at the Investor Day are broadening the application space and fueling demand for advanced and mature nodes. Secular growth drivers in semiconductor end markets and increasing lithography intensity on future technology nodes are driving demand for our products and services. In summary, while there is clear uncertainty in the current environment, our customers' demand for our products continues to exceed supply. We had a good start to the year, and based on our view today, we continue to expect another year of strong growth.

    儘管存在明顯的短期週期性擔憂,但我們在投資者日談到的長期全球大趨勢正在拓寬應用空間並推動對先進和成熟節點的需求。半導體終端市場的長期增長動力和未來技術節點光刻強度的增加正在推動對我們產品和服務的需求。總而言之,雖然當前環境存在明顯的不確定性,但我們的客戶對我們產品的需求繼續超過供應。我們今年開局良好,根據我們今天的看法,我們繼續期待又一年的強勁增長。

  • ASML and its supply chain partners continue to work on the capacity ramp in support of our customers' demand, and we remain confident in our long-term growth opportunity. With that, we would be happy to take your questions.

    ASML 及其供應鏈合作夥伴繼續致力於提高產能以支持我們客戶的需求,我們對我們的長期增長機會充滿信心。有了這個,我們很樂意回答您的問題。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, Roger and Peter. The operator will instruct you momentarily on the protocol for the Q&A session. Beforehand, I'd like to ask that you kindly limit yourself to one question with one short follow-up, if necessary. This will allow us to get to as many callers as possible. Now operator, could we have your final instructions and then the first question, please.

    謝謝你們,羅杰和彼得。接線員將立即指導您了解問答環節的流程。在此之前,我想請您在必要時將自己限制在一個問題和一個簡短的跟進中。這將使我們能夠接觸到盡可能多的來電者。現在接線員,請問您最後的指示,然後是第一個問題。

  • Operator

    Operator

  • (Operator Instructions) And your first question comes from the line of Krish Sankar from TD Cowen.

    (操作員說明)您的第一個問題來自 TD Cowen 的 Krish Sankar。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • I have 2 of them. First one, Roger, I understand your backlog is still pretty healthy, but the order run rate is definitely slowing. So kind of curious how to think about calendar '24 relative to '23. If the order run rate continues to decline, is there a risk that calendar '24 could be flat to down year for you? And then I have a follow-up for Peter.

    我有 2 個。第一個,羅傑,我知道你的積壓仍然很健康,但訂單運行率肯定在放緩。很好奇如何相對於 23 年考慮日曆 24 年。如果訂單運行率繼續下降,日曆 24 年對您來說是否有持平或下降的風險?然後我有彼得的後續行動。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, let me reverse it. I will answer that question, and perhaps Roger can answer the second one. So yes, I think you asked a question on 2024. I think we already mentioned it. The backlog is particularly strong, which, of course, is the result of very strong order intake over the last couple of quarters. Now -- and when we look at 2024 and we have our long-term discussion with our customers, the demand for 2024 clearly shows an increase in terms of tool shipments and therefore, sales as compared to 2023. Now a significant part of that is already booked. You could argue that the back half of the second half of next year still needs to be booked.

    好吧,讓我扭轉它。我會回答那個問題,也許羅傑可以回答第二個問題。所以是的,我想你問了一個關於 2024 年的問題。我想我們已經提到了。積壓量特別大,這當然是過去幾個季度訂單量非常大的結果。現在——當我們展望 2024 年並與客戶進行長期討論時,與 2023 年相比,2024 年的需求清楚地表明工具出貨量和銷售額有所增加。現在其中很大一部分是已經預定好了。你可能會爭辯說明年下半年的後半段仍然需要預訂。

  • And I'm pretty confident that, that will come in the course of this year. Now -- and although there are many uncertainties that we're currently seeing that the rate of inflation, interest rates, the geopolitical situation, we believe we are not looking at a massive recession, while our customers, of course, are dealing with the current circumstances by very diligently reducing inventory, adjusting their utilization rates and still very much planning for the demand for next year because they're building fabs. And those steps are real and have to take tools. Now not everything is booked yet for the 2024 demand.

    我非常有信心,這將在今年內實現。現在——儘管我們目前看到通貨膨脹率、利率、地緣政治局勢存在許多不確定性,但我們相信我們不會看到大規模衰退,而我們的客戶當然正在應對目前的情況是非常努力地減少庫存,調整他們的利用率,並且仍然非常計劃明年的需求,因為他們正在建造晶圓廠。這些步驟是真實的,必須使用工具。現在還沒有為 2024 年的需求預訂所有的東西。

  • But in our discussions, as I said before, we very clearly see an increase of the number of tools that are needed because of the technology transitions and I also believe the confidence that our customers have in them, very diligently working off inventory against a macroeconomic situation that doesn't look like a massive recession. That gives us the confidence with the fact that these new fabs are opening that, yes, 2024 will be an up year as compared to 2023. And yes, we have not booked all those orders. And yes, I strongly believe that those orders will come in, in the course of this year. But if you ask me an exact date like June 12, 2023, I cannot give you this, but it will happen. So this is the way that we look at the world.

    但在我們的討論中,正如我之前所說,我們非常清楚地看到由於技術轉型而需要的工具數量有所增加,我也相信我們的客戶對他們有信心,非常勤奮地處理庫存以應對宏觀經濟看起來不像大規模衰退的情況。這讓我們對這些新晶圓廠開業這一事實充滿信心,是的,與 2023 年相比,2024 年將是增長的一年。是的,我們還沒有預訂所有這些訂單。是的,我堅信這些訂單會在今年收到。但如果你問我一個確切的日期,比如 2023 年 6 月 12 日,我不能給你這個,但它會發生。這就是我們看待世界的方式。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • Got it. Very helpful, Peter. And I definitely won't ask for a specific date. And then as a follow-up for either you or Roger, there has been -- clearly, the cost of EUV is pretty high. And there have been some concerns that EUV intensity could slow down as we go beyond 3-nanometer, already some customers are using a low-cost 3-nanometer version. So I'm kind of curious how to think about EUV intensity as you go from 3 to 2-nanometer and beyond, do you feel comfortable EUV layers are going to increase? Or do you think it kind of stagnant or saturated?

    知道了。非常有幫助,彼得。我絕對不會要求具體日期。然後作為你或羅傑的後續行動,很明顯,EUV 的成本相當高。並且有人擔心,隨著我們超越 3 納米,EUV 強度可能會減慢,一些客戶已經在使用低成本的 3 納米版本。所以我很好奇,當你從 3 納米到 2 納米甚至更高時,如何考慮 EUV 強度,你覺得 EUV 層會增加嗎?或者你認為它有點停滯或飽和?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, okay, I'll answer that also. I think the -- it's also shown in our -- I think I can best refer to because you're talking about long-term road map questions. I think we tried to answer that during our Capital Markets Day. I think it was very clear in our Capital Markets Day, we gave you an overview of the litho intensity going up. And yes, that is also driven by EUV. It is driven by EUV for 2 reasons. One, I think we will see throughout the rest of this decade, a significant increase in EUV productivity but also in the shrink by the introduction of High-NA. So it's always going to be a combination. And what we are seeing today when we look at the chip designs, we see more EUV layers, not less.

    嗯,好吧,我也來回答一下。我認為 - 它也顯示在我們的 - 我認為我可以最好地參考,因為你在談論長期路線圖問題。我想我們在資本市場日期間試圖回答這個問題。我認為在我們的資本市場日非常清楚,我們向您概述了光刻強度上升的情況。是的,這也是由 EUV 驅動的。它由 EUV 驅動,原因有兩個。第一,我認為在這十年的剩餘時間裡,我們將看到 EUV 生產力的顯著提高,但也會因引入高 NA 而縮小。所以它總是會是一個組合。而我們今天在查看芯片設計時所看到的,我們看到了更多的 EUV 層,而不是更少。

  • So that means that we see EUV intensity going up, and it's simply based on the very intense and deep discussions that we have with our customers' R&D people. And that's also the basis for our Capital Markets presentation that we gave you last year. And that still stands. What we said at that time is still relevant today.

    因此,這意味著我們看到 EUV 強度在上升,而這僅僅是基於我們與客戶的研發人員進行的非常激烈和深入的討論。這也是我們去年為您提供的資本市場演示文稿的基礎。這仍然有效。我們當時所說的話,今天仍然適用。

  • Operator

    Operator

  • And your next question comes from the line of Francois Bouvignies from UBS.

    你的下一個問題來自瑞銀的 Francois Bouvignies。

  • Francois-Xavier Bouvignies - Technology Analyst

    Francois-Xavier Bouvignies - Technology Analyst

  • So I have 2 quick ones. So the first one is on EUV demand, specifically, Peter. I mean you mentioned in the video, actually, Roger, some pushouts, but you reiterated the guidance for the full year. So obviously, your strong backlog is supporting your 2023 revenues and you said it's way more than one full year revenues, your backlog. But as net orders are weakening, I mean how should we interpret the trend in EUV demand for 2024 as the backlog is normalizing. I mean do you still expect EUV shipments to be up in 2024, especially given the visibility of 2 years you have for EUV specifically? Just trying to reconcile your pushouts comments and EUV demand for 2024, given the long visibility.

    所以我有 2 個快速的。所以第一個是 EUV 需求,具體來說,Peter。我的意思是你在視頻中提到,實際上,羅傑,一些推出,但你重申了全年的指導。很明顯,您的大量積壓訂單正在支持您 2023 年的收入,並且您說這遠遠超過一年的收入,即您的積壓訂單。但隨著淨訂單的減弱,我的意思是我們應該如何解讀 2024 年 EUV 需求的趨勢,因為積壓正在正常化。我的意思是,您是否仍預計 EUV 的出貨量會在 2024 年增加,尤其是考慮到您對 EUV 有 2 年的可見性?考慮到長期的可見性,只是試圖調和您對 2024 年的推出評論和 EUV 需求。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. When we talk about a demand push out, you need to understand, and I think we said it before many times during our quarterly calls that the demand was far bigger than our build capacity. So we can talk about a demand push out without affecting our build capacity because the build capacity is lower than the demand. So this is what is actually happening and some of that demand that people wanted in 2023, they moved back to 2024. So when we look at the year 2024, and we look at the demand picture, and I just referred to the answer I gave on the first question, the demand picture looks at an increase of our shipments next year, which is true for the company, it's also true for EUV.

    是的。當我們談論需求推出時,你需要明白,我想我們之前在季度電話會議上多次說過,需求遠遠大於我們的建設能力。因此,我們可以談論需求推出而不影響我們的建設能力,因為建設能力低於需求。所以這就是實際發生的事情,人們在 2023 年想要的一些需求,他們又回到了 2024 年。所以當我們回顧 2024 年時,我們看看需求圖,我只是提到了我給出的答案關於第一個問題,需求圖看我們明年出貨量的增加,對公司來說是這樣,對EUV也是這樣。

  • So -- and I gave you the reasons in the answer to the first question. So the -- which is true for EUV is even more true for deep UV. We have a significant over demand in 2022, but now also in 2023, which is to a lesser extent, that buffer has actually shrunk, but it's the same situation. You can have demand changes, which do not lead to output changes because the output capacity is so much lower. I think that is what you need to keep in mind. And I can only refer back to what I said in the answer to the first question. We do pencil in the customer demand based on their expansion plans and based on what they believe their production capacity needs next year, which is a function of how they think about the duration of this current downturn.

    所以 - 我在第一個問題的答案中給了你原因。所以——這對 EUV 來說是正確的,對深紫外線來說更是如此。我們在 2022 年有明顯的需求過剩,但現在在 2023 年也是如此,在較小程度上,緩衝實際上已經縮小,但情況是一樣的。你可以有需求變化,這不會導致輸出變化,因為輸出能力要低得多。我認為這是你需要記住的。而我只能回頭參考我在第一個問題的回答中所說的。我們根據他們的擴張計劃和他們認為明年的產能需求來確定客戶需求,這取決於他們如何看待當前經濟低迷的持續時間。

  • Now of course, if our customers start thinking about (technical difficulty) will be completely different, but they don't. They all think about basically work on diligently our inventory levels, we reduced the utilization to get a balance in supply and demand in the chip sector. That's what they're doing. And that just points to a shorter-term situation than a longer-term situation, which means that they keep the 2024 demand on us as is. Now part of it still needs to be booked, like I said, and it's particularly what I would call the back half of the second half of next year, yes, those orders we need to book, but I'm pretty sure that we will book them. And both on deep UV and EUV, we are both planning higher unit numbers.

    現在當然,如果我們的客戶開始考慮(技術難度)會完全不同,但他們沒有。他們都在考慮基本上努力改善我們的庫存水平,我們降低了利用率以實現芯片行業的供需平衡。這就是他們正在做的。這只是表明短期情況而不是長期情況,這意味著他們保持 2024 年對我們的需求不變。現在一部分仍然需要預訂,就像我說的,特別是我稱之為明年下半年的後半段,是的,我們需要預訂的那些訂單,但我很確定我們會預訂他們。在深紫外和 EUV 方面,我們都計劃增加單位數量。

  • Francois-Xavier Bouvignies - Technology Analyst

    Francois-Xavier Bouvignies - Technology Analyst

  • Great. And then my follow-up is on China. I mean you mentioned it's 20% of your backlog. So it would imply 45% of the deep UV backlog is from China. If my math is correct, which would imply a significant share from China in deep UV. How should we think about the sustainability and particularly, the risk of pulling due to the geopolitical dynamics, if you see what I mean, beyond 2023?

    偉大的。然後我的後續行動是關於中國的。我的意思是你提到這是你積壓的 20%。因此,這意味著 45% 的深紫外線積壓訂單來自中國。如果我的計算是正確的,這將意味著中國在深紫外線領域佔有很大份額。如果你明白我的意思,我們應該如何考慮可持續性,特別是由於地緣政治動態而拉動的風險,超過 2023 年?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes, I think it's not a surprising. We've said it before that the Chinese market is a market for mid-critical and mature semiconductor, so mid-critical and mature lithography systems. That's exactly what we are talking about. They say, who needs all those semiconductors because there's a significant -- and I think your math is about right. And that means that for our mid-critical and mature semiconductors, which are outside the realm of the export controls because that's on advanced immersion, yes. The demand for those semiconductors are significant. In the discussions I've had with one of the Chinese end customers, which is not a semiconductor maker, it's a product maker. So that in fact -- they make electrical vehicles.

    是的,我認為這並不奇怪。我們之前說過,中國市場是中臨界和成熟半導體的市場,所以是中臨界和成熟的光刻系統。這正是我們正在談論的。他們說,誰需要所有這些半導體,因為有一個重要的 - 我認為你的數學是正確的。這意味著對於我們的中臨界和成熟半導體,它們不在出口管制範圍內,因為它處於高級浸入狀態,是的。對這些半導體的需求很大。在我與一位中國終端客戶的討論中,它不是半導體製造商,而是產品製造商。所以事實上——他們製造電動汽車。

  • If you think about the increase of number of electric vehicles that will be produced in 3 to 4 years from now you need multiple 28- and 45-nanometer fabs, multiple, and it's more than a handful. Those steps are not there. They need to be built. So I think this is something people underestimate how significant the demand in the mid-critical and the mature semiconductor space is. And it will just grow double digit, whether it's automotive, whether it's the energy transition, whether it's just the entire industrial products area, where is the -- well, those are the sensors that we actually need as an integral component of the AI systems. This is where the mid-critical and the mature semiconductor space is very important and needs to grow. And this is where China is very strong. And this is why, yes, that could be 40% to 50% of our deep UV backlog, that's what it is.

    如果您考慮從現在起 3 到 4 年內生產的電動汽車數量的增加,您需要多個 28 和 45 納米晶圓廠,多個,而且不止少數。這些步驟不存在。他們需要被建造。所以我認為人們低估了中臨界和成熟半導體領域的需求量。而且它只會增長兩位數,無論是汽車,還是能源轉型,無論是整個工業產品領域,在哪裡——好吧,這些是我們真正需要的傳感器,作為人工智能係統的一個組成部分.這是中臨界和成熟半導體空間非常重要和需要發展的地方。而這正是中國非常強大的地方。這就是為什麼,是的,這可能是我們深紫外線積壓的 40% 到 50%,就是這樣。

  • Operator

    Operator

  • And your next question comes from the line of Amit Harchandani from Citi.

    你的下一個問題來自花旗的 Amit Harchandani。

  • Amit B. Harchandani - Research Analyst

    Amit B. Harchandani - Research Analyst

  • Amit Harchandani from Citi. Two questions, if I may. My first question is with regards to the logic end market. You've talked about some moderation there. Could you give us a sense for, if anything that has changed in terms of discussions with customers, you talk about them sticking to their tech road maps. But do you see that as being uniform across customers, do you see any variations, but just a sense of if you've seen anything change with respect to the logic end market?

    來自花旗的 Amit Harchandani。兩個問題,如果可以的話。我的第一個問題是關於邏輯終端市場。你在那裡談到了一些節制。您能否告訴我們,如果與客戶的討論發生了任何變化,您是否會談論他們堅持他們的技術路線圖。但是您是否認為這在客戶之間是統一的,您是否看到任何變化,但是您是否看到邏輯終端市場有任何變化?

  • And my second question is with regards to capital allocation. I believe in the annual report -- towards the end of the annual report, you have talked about your CapEx for this year being potentially EUR 2.4 billion, which would imply a capital intensity or a level that's higher than in the past, certainly highest in the last 10, 15 years that I can remember. Could you give us a sense for whether that's a one-off? And how should we think about capital intensity and broader capital allocation out this year and beyond?

    我的第二個問題是關於資本配置。我相信年度報告——在年度報告的末尾,你談到了你今年的資本支出可能為 24 億歐元,這意味著資本密集度或水平高於過去,肯定是最高的我記得的最後 10、15 年。你能告訴我們這是否是一次性的嗎?我們應該如何考慮今年及以後的資本密集度和更廣泛的資本配置?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Roger will take the second question. On the first question, what do we see in change of in the logic end markets? What do we see in terms of change in road maps? And I would say the least change we see in the advanced road maps. I mean it's very clear that whether it's the 3 or the 2 or the sub-2-nanometer road maps, those are very clearly defined. There's only very few players. There's only 2 or 3 players that are -- actually 3 players that are actually looking into this. And I don't think those road maps are changing.

    羅傑將回答第二個問題。關於第一個問題,我們看到邏輯終端市場的變化是什麼?我們對路線圖的變化有何看法?我想說的是我們在高級路線圖中看到的最小變化。我的意思是很清楚,無論是 3 納米還是 2 納米還是亞 2 納米路線圖,這些路線圖都非常明確。只有極少數玩家。只有 2 或 3 名玩家——實際上是 3 名玩家正在研究這個問題。而且我認為這些路線圖不會改變。

  • I think they're pretty much the push that we get from the customers in that space is to keep our promises in terms of the shipment of the next-generation litho tool to meet their road map introduction requirements. I don't think that's a major change there. But I do see a change, and it refers back to the previous question, I do see a change in the road maps for the mid-critical and the mature systems. This is where I see customers that are in that space moving from mature to mid-critical from low mid-critical to high mid critical. There, you clearly see an acceleration of road maps. So it's more in the mature space, the mature and the mid-critical space than it is in the advanced space.

    我認為他們幾乎是我們從該領域的客戶那裡得到的推動力,是為了遵守我們在下一代光刻工具發貨方面的承諾,以滿足他們的路線圖引入要求。我不認為這是一個重大變化。但我確實看到了變化,它又回到了之前的問題,我確實看到了中關鍵和成熟系統的路線圖發生了變化。這就是我看到該領域的客戶從成熟到中度關鍵從低中度關鍵到高中度關鍵的地方。在那裡,您可以清楚地看到路線圖的加速。所以它在成熟空間、成熟和中臨界空間中比在高級空間中更多。

  • And that is also driven by the things I just said is the whole EV transition is going to require a significant step-up in, let's say, 20, 28 and 45-nanometer capability. And this is where there is a big opportunity. So you also see road maps addressing that opportunity. And that's what I would see in the logic space is the biggest change.

    這也是由我剛才所說的事情驅動的,即整個電動汽車過渡將需要顯著提升,比方說,20、28 和 45 納米的能力。而這正是存在巨大機遇的地方。因此,您還會看到解決該機會的路線圖。這就是我在邏輯空間中看到的最大變化。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Amit, with regard to your second question, the -- indeed, the EUR 2.4 billion number that you referred to for the full year, that's very much in line with about 0.6 that you would see for this quarter. So that's very much in line. What is in there? Well, obviously, what is in there, first and foremost, is 2 things. It's the preparation for High-NA. And it's the ongoing activity to increase our capacity to the 90 and the 600. On High-NA, part of what is in there is prototypes that we're building. So we're building prototypes for High-NA. At a certain point in time, those prototypes will obviously also find their way or positive prototypes will find their way into the market.

    阿米特,關於你的第二個問題,事實上,你提到的全年 24 億歐元的數字,與你在本季度看到的大約 0.6 非常一致。所以這非常符合。裡面有什麼?好吧,顯然,其中首先是兩件事。這是為High-NA做準備。這是將我們的容量增加到 90 和 600 的持續活動。在 High-NA 上,其中的一部分是我們正在構建的原型。所以我們正在為 High-NA 構建原型。在某個時間點,這些原型顯然也會找到自己的路,或者積極的原型會找到進入市場的路。

  • So at a certain point in time, there will be a bit of reversal in there. So that's part of the fairly high number that you see in there. But other than that, there's a lot of construction work going on around the globe in order to accommodate the capacity expansion that we've talked about. If you ask about what do you think in terms of the longer term, I think it is prudent to expect that for the years through 2025, I think it's prudent to expect something between, I would say, EUR 1.5 billion, EUR 2 billion in that neighborhood, I think it is prudent to assume that we're going to see these levels of CapEx because those will be the years where we continue to build the capacity that we have talked about before.

    所以在某個時間點,裡面會有一點反轉。所以這是你在那裡看到的相當高的數字的一部分。但除此之外,為了適應我們談到的產能擴張,全球各地正在進行大量建設工作。如果你問你對長期的看法如何,我認為謹慎的做法是預計到 2025 年,我認為謹慎的做法是預計在 15 億歐元和 20 億歐元之間那個社區,我認為假設我們將看到這些水平的資本支出是謹慎的,因為那將是我們繼續建立我們之前討論過的能力的年份。

  • Operator

    Operator

  • And the next question comes from the line of Aleksander Peterc from Societe Generale.

    下一個問題來自法國興業銀行的 Aleksander Peterc。

  • Aleksander Peterc - Equity Analyst

    Aleksander Peterc - Equity Analyst

  • My first question will be more short term -- just on the systems mix into the second quarter versus the first quarter, so you had quite a high level of EUV in the first quarter in terms of recognition that is specifically -- do you expect a similar mix to prevail in the second quarter and then maybe reversed to more deep UV in the second half? Or how should we think about? I have a follow-up as well.

    我的第一個問題將是更短期的 - 只是關於第二季度與第一季度的系統混合,所以你在第一季度的 EUV 水平相當高,特別是在認可方面 - 你是否期望類似的組合在第二季度盛行,然後可能在下半場轉向更深的紫外線?或者我們應該怎麼想?我也有後續行動。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • I think you're right. I think EUV was slightly overrepresented in the first quarter. So we've always talked about around 60 shipments for the year. So 17 is relatively higher than what you expect. So I think it is realistic to assume that in the 3 quarters to come, that number will be slightly lower than the 17 that we have in revenue for Q1.

    我想你是正確的。我認為 EUV 在第一季度的佔比略高。所以我們一直在談論每年大約 60 次發貨。所以 17 相對高於您的預期。因此,我認為假設在接下來的 3 個季度中,這個數字將略低於第一季度的 17 個收入是現實的。

  • Aleksander Peterc - Equity Analyst

    Aleksander Peterc - Equity Analyst

  • And then the follow-up would be just on your higher gross cash requirements in the current environment? Or is that perhaps due to higher working capital requirements? Could you maybe quantify what is the level of gross cash you will be comfortable in the current environment for the time being that I assume is higher than the EUR 2.5 billion you previously mentioned? Or in other words, if you could put a number on the high working capital is required given the optimization of cash flow across the chain?

    然後跟進只是關於您在當前環境下更高的總現金需求?或者這可能是由於更高的營運資金要求?您能否量化目前我認為高於您之前提到的 25 億歐元的當前環境下您會感到舒適的總現金水平?或者換句話說,考慮到整個鏈條的現金流優化,您是否可以給出需要高營運資金的數字?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. I mentioned 2 dynamics. One dynamic I mentioned is that everyone in the entire value chain is managing their cash flow levels. And obviously, that means that also our free cash flow, it might be a little bit out of pressure. So that's one dynamic. And then indeed, the second dynamic that we talked about is that we believe it is appropriate in the current environment to sustain or to maintain higher levels of cash. What do I think is realistic. I think if you look at the cash level at the end of this quarter, if you look at the cash level that we had at the end of the previous quarter, I would say that -- those cash levels are definitely sufficient to weather any uncertainties that might be there. So I think those cash levels, I think, are more than sufficient to have the flexibility that we're looking for, more than sufficient.

    是的。我提到了 2 個動態。我提到的一種動態是,整個價值鏈中的每個人都在管理他們的現金流量水平。顯然,這也意味著我們的自由現金流可能有點壓力。所以這是一個動態。事實上,我們談到的第二個動態是,我們認為在當前環境下維持或維持更高水平的現金是合適的。我認為什麼是現實的。我想如果你看看本季度末的現金水平,如果你看看我們在上一季度末的現金水平,我會說 - 這些現金水平絕對足以抵禦任何不確定性那可能在那裡。因此,我認為這些現金水平足以擁有我們正在尋找的靈活性,綽綽有餘。

  • Operator

    Operator

  • And your next question comes from the line of Alexander Duval from Goldman Sachs.

    你的下一個問題來自高盛的 Alexander Duval。

  • Alexander Duval - Equity Analyst

    Alexander Duval - Equity Analyst

  • I think you talked about mid-single-digit growth in services revenue this year, and that's obviously understandable given hard comps last year. But I wondered if you could give your thoughts on the extent to which there could be upside given lower machine utilization that you referenced, and that typically in the past from memory has led to higher upgrade activity.

    我想你談到了今年服務收入的中個位數增長,考慮到去年的硬收入,這顯然是可以理解的。但我想知道您是否可以就您提到的較低機器利用率以及過去通常從內存導致更高升級活動的情況下可能存在的優勢程度發表您的想法。

  • And secondly, a question we received from investors is just on average selling prices. You obviously talked about some weakening semi fundamentals, which you've characterized as being shorter term in nature. But just curious to what degree that could limit your ability to increase ASPs and offset some of those cost pressures you've talked about in recent quarters many times.

    其次,我們從投資者那裡收到的一個問題只是平均售價。您顯然談到了一些疲軟的半基本面,您將其描述為本質上是短期的。但只是好奇這會在多大程度上限制您提高 ASP 並抵消您在最近幾個季度多次談到的一些成本壓力的能力。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • The upside on service. It's a good point. I mean what you generally see is that while the -- if there's an upturn, of course, we don't get the sufficient time to take down the machine and then do the upgrade -- software upgrades are, of course, easier. But any prolonged down of a machine in an upturn is a disaster for a customer, so they don't want to do that. So you're absolutely right, I mean in the downturn, we do have that because the utilization goes down. Well, in the beginning of such a downturn when the utilization goes down budgets that customers are going to allocate to do the upgrades are also going to go down.

    服務的好處。這是一個很好的觀點。我的意思是你通常看到的是——當然,如果出現好轉,我們沒有足夠的時間關閉機器然後進行升級——軟件升級當然更容易。但在經濟好轉的情況下,任何長時間的機器停機對客戶來說都是一場災難,所以他們不想那樣做。所以你是絕對正確的,我的意思是在經濟低迷時期,我們確實有這種情況,因為利用率下降了。好吧,在這種低迷的開始,當利用率下降時,客戶分配給升級的預算也會下降。

  • So what you generally see, and this is why there is an upside in H2, they were all right. And we are all looking at this as a shorter-term downturn, whereby towards the end of the year, you will see the signs of a recovery. Then customers will start scratching their head and we will push it and say, listen, you're still not at 100% utilization, but you're probably going to get there in 1 or 2 quarters. So you have to do this now because you can see the upturn coming. I mean this is the time when we actually push the -- basically the upgrades. And that's an upside. That is definitely an upside. So our customers work through this inventory glut today and they see this upturn coming. They see the utilization rates going up again. That's the time when they want those upgrades. And you can rest assured that we'll be there to actually remind them of this. So yes, and then the ASP, the limitation because of cost.

    所以你通常看到的,這就是為什麼 H2 有上行空間的原因,它們都是正確的。我們都將此視為短期衰退,到年底,您將看到復甦的跡象。然後客戶會開始摸不著頭腦,我們會推動它說,聽著,你仍然沒有達到 100% 的利用率,但你可能會在 1 或 2 個季度內到達那裡。所以你現在必須這樣做,因為你可以看到好轉的到來。我的意思是,這是我們真正推動——基本上是升級的時候。這是一個好處。這絕對是一個好處。因此,我們的客戶今天正在努力解決庫存過剩的問題,他們看到這種好轉即將到來。他們看到利用率再次上升。那是他們想要升級的時候。您可以放心,我們會在那裡實際提醒他們這一點。所以是的,然後是 ASP,由於成本的限制。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • And maybe I'll take that. I think you referred to the inflation adjustment that we've been talking about on previous calls that we're talking to customers about. And I think that it's fair to say that we have made really good progress. So I think for a number of large customers, we have reached agreement on indeed them compensating us for inflation. Not with everyone yet. So we're still in discussions with someone we hope to be able to conclude those discussions actually in this quarter.

    也許我會接受。我想你提到了我們在之前與客戶談論的電話中一直在談論的通貨膨脹調整。我認為可以公平地說,我們取得了非常好的進展。所以我認為對於一些大客戶,我們已經就他們確實補償我們的通貨膨脹達成協議。還沒有和所有人一起。所以我們仍在與我們希望能夠在本季度實際結束這些討論的人進行討論。

  • So by the end, we should be in a position to give you what the overall picture is. But I'm very helpful that the larger customers that we have are willing to share in the burden of inflation, which I think from a fairness perspective is the right thing to do. And again, we're making good progress there and give you an update by the end of Q2.

    所以到最後,我們應該能夠為您提供整體情況。但我對我們的大客戶願意分擔通貨膨脹的負擔很有幫助,我認為從公平的角度來看這是正確的做法。再一次,我們在那裡取得了良好的進展,並在第二季度末向您提供更新。

  • Operator

    Operator

  • And the next question comes from the line of C.J. Muse from Evercore.

    下一個問題來自 Evercore 的 C.J. Muse。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • I guess first question, you talked about seeing customers pushing and others pulling in. Can you comment specifically on what you're seeing just for EUV? And as part of that, given some commentary around reuse, does that cause any worry that we might be putting on overcapacity on the EUV side of things, at least over the near term?

    我想第一個問題,你談到看到客戶推動和其他人拉進來。你能具體評論一下你所看到的 EUV 嗎?作為其中的一部分,考慮到關於重用的一些評論,這是否會引起我們擔心我們可能會在 EUV 方面造成產能過剩,至少在短期內如此?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • C.J., could you -- I will answer the question on the pushing and pulling. But what do you mean by reuse -- could you specify a bit more the second question? I want to make sure I understand your question correctly. Could you repeat it again, the second part?

    C.J.,你能不能——我會回答關於推拉的問題。但是,您所說的重用是什麼意思——您能否詳細說明第二個問題?我想確保我正確理解你的問題。你能再說一遍嗎,第二部分?

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • Yes, of course. So I think that there is commentary out there that TSMC is looking to reuse 5-nanometer down to 3-nanometer. And as part of that, could reuse a portion of the EUV tools used for 5. And so just curious how you're thinking about -- and I know you don't want to talk about specific customers, but how you're thinking about -- more broadly speaking, the potential for reuse and what impact that might have on EUV demand?

    是的當然。所以我認為那裡有評論說台積電正在尋求將 5 納米重新使用到 3 納米。作為其中的一部分,可以重複使用用於 5 的 EUV 工具的一部分。所以很好奇你在想什麼——我知道你不想談論特定的客戶,但你在想什麼關於 - 更廣泛地說,再利用的潛力以及它可能對 EUV 需求產生什麼影響?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think that in general has always been the case. I mean customers will use the tools for the nodes where they need to use them. So it all is a matter of how big is the node. I think if the 3-nanometer node or the 5-nanometer node is small or is big, that will drive the demand for EUV tools. And customers are like us, businesspeople, they will allocate their capital that they have on the balance sheet wherever they see fit. So -- but it's more a question of how big are those nodes?

    是的。我認為總的來說一直都是這樣。我的意思是客戶將在他們需要使用它們的節點上使用這些工具。所以這完全取決於節點有多大。我認為如果 3 納米節點或 5 納米節點是小的還是大的,那將推動對 EUV 工具的需求。客戶就像我們一樣,商人,他們會在他們認為合適的地方分配資產負債表上的資本。所以 - 但更多的是這些節點有多大的問題?

  • And we currently believe when we listen to the customers that they believe the 3-nanometer has a very big node. Well, what they don't sell on the 5, they can match it on the 3, then we will use those machines in the 3 node. But then it's the node size that really drives the need for the EUV tools. And this is what is reflected currently in the customer demand that we're currently seeing. And this actually is part of the answer to the questions I received on question #1 and 2 this afternoon.

    我們目前相信,當我們聽取客戶的意見時,他們認為 3 納米具有非常大的節點。嗯,他們5號不賣的東西,3號可以配,那我們就用3號節點的那些機器。但真正推動 EUV 工具需求的是節點大小。這就是目前我們所看到的客戶需求所反映的。這實際上是我今天下午收到的關於問題 1 和問題 2 的部分答案。

  • So we still see the demand, the overall demand EUV and deep UV to be up next year. And that is a reflection of what our customers believe their installed capacity needs to be. And that's based on how big they think the node is going to be. And that's driven by what they see from their customers as the end demand. I think -- and when I come back to your first question of pushing and pulling, that's particularly true for deep UV, not so much for EUV. I think we've seen in deep UV, we have seen, especially in the memory space, we have seen -- you can imagine 3D NAND, they don't use EUV but the market situation isn't optimal. So you see there pushbacks, but those tools are happily been taken up by the IDMs and by our customers in China, for instance. So it's -- particularly the pushing and pulling is a deep UV event.

    所以我們仍然看到需求,明年 EUV 和深 UV 的整體需求將會上升。這反映了我們的客戶認為他們需要的裝機容量。這是基於他們認為節點將有多大。這是由他們從客戶那裡看到的最終需求所驅動的。我認為 - 當我回到你的第一個推拉問題時,對於深紫外線來說尤其如此,而對於 EUV 則不然。我認為我們已經在深紫外線中看到了,我們已經看到了,特別是在內存空間中,我們已經看到了——你可以想像 3D NAND,它們不使用 EUV,但市場情況並不理想。所以你看到了阻力,但這些工具很高興地被 IDM 和我們在中國的客戶採用,例如。所以它 - 特別是推拉是深紫外線事件。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • Very helpful. And just a quick follow-up question on domestic China. I think you said 20% of calendar '23 revenues. Can you confirm that? And if that's right, then roughly 50% of your non-EUV tool business will be domestic China in 2023 and so the question there is how sustainable are the demand trends there beyond 2023?

    很有幫助。還有一個關於中國國內的快速跟進問題。我想你說的是 23 年日曆收入的 20%。你能證實嗎?如果這是正確的,那麼到 2023 年,大約 50% 的非 EUV 工具業務將在中國國內進行,因此問題是 2023 年以後的需求趨勢的可持續性如何?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • No, I think the math is correct, and I think it was -- one of our other analysts asked the same question. Yes, I think the 45% is about right -- the 45% to 50% is about right. I think it's very sustainable. In my latest trip to China, I spoke to many customers and also some end customers, and the expansion plans, especially when it comes to issues like the EV transition, when it comes to the rollout of the communication networks, when you talk about the energy transition, that's all in that mid-critical to mature domain.

    不,我認為數學是正確的,而且我認為是——我們的一位其他分析師也問了同樣的問題。是的,我認為 45% 是正確的——45% 到 50% 是正確的。我認為這是非常可持續的。在我最近的中國之行中,我與許多客戶和一些終端客戶以及擴展計劃進行了交談,尤其是涉及到電動汽車轉型等問題時,涉及到通信網絡的推出時,當你談到能源轉型,這一切都在中關鍵到成熟的領域。

  • And the number of end products that they are planning to produce is significant. And the semiconductor capacity base to support that is not there yet. It's being built. And this is why I think it's sustainable. I think we're underestimating. I can -- it sounds like a broken record, I suppose. I think we're all underestimating the end demand for mature and mid-critical semiconductors. The application space for those semiconductor is so wide. And every time you could say, well, I am not biased because I've been there now very recently, and I talked to those customers and those end customers.

    他們計劃生產的最終產品數量非常可觀。而支撐它的半導體產能基礎還不存在。它正在建造中。這就是為什麼我認為它是可持續的。我認為我們低估了。我可以——我想這聽起來像是一張破唱片。我認為我們都低估了對成熟和中等臨界半導體的最終需求。這些半導體的應用空間非常廣闊。每次你都可以說,好吧,我沒有偏見,因為我最近去過那裡,我和那些客戶和那些最終客戶談過。

  • And I'm convinced that, that -- that is needed. And so I think it's very sustainable. Also, when I look at the expansion plans in the major centers in China, whether it's Beijing or Shanghai or Shenzhen, those fabs will be there. The end markets are there, and there's going to be a lot China for China. So yes -- so I think it's sustainable. But again, it's my view based on my latest visit.

    我堅信,那是必要的。所以我認為這是非常可持續的。此外,當我查看中國主要中心的擴張計劃時,無論是北京、上海還是深圳,這些晶圓廠都會在那裡。終端市場就在那裡,中國將會有很多。所以是的 - 所以我認為它是可持續的。但同樣,這是我根據最近一次訪問得出的觀點。

  • Operator

    Operator

  • And your next question comes from the line of Mehdi Hosseini from SIG.

    你的下一個問題來自 SIG 的 Mehdi Hosseini。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • The first one for you, Peter. How should I think about the EUV mix shipment in '23? And I'm more interested in the mix of 3800E versus 3600D.

    第一個給你,彼得。我應該如何看待 23 年的 EUV 混合出貨?我對 3800E 與 3600D 的組合更感興趣。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. Okay. Well, you can think about this very clearly that it's going to be 3600, that's what -- and very few 3800s because the 3800 shipments are really pushed towards the end of the year, partly because the 3800 has new technology that is similar to the technology used in High-NA. So it's basically, it's the system integrations, High-NA and 3800. They run side by side. So -- and that pushes it towards the end of the year. And when it comes to some of the supply issues that we've seen over the last quarters, also particularly pertain to this technology, to the 3800 and the High-NA technology. So that has pushed it all back towards the end of the year. So I would -- in your models, I would focus on the 3600D.

    是的。好的。好吧,你可以非常清楚地考慮到這將是 3600,就是這樣——而且 3800 很少,因為 3800 的出貨量確實被推到了年底,部分原因是 3800 具有類似於High-NA 中使用的技術。所以基本上,它是系統集成,High-NA 和 3800。它們並排運行。所以 - 這將它推向了年底。當談到我們在過去幾個季度看到的一些供應問題時,尤其是與這項技術、3800 和 High-NA 技術有關的問題。因此,這將其全部推回到了年底。所以我會 - 在你的模型中,我會專注於 3600D。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Okay. Does the initial 3800E shipment start at 200 wafer per hour throughput.

    好的。最初的 3800E 出貨量是否以每小時 200 片晶圓的吞吐量開始。

  • Unidentified Company Representative

    Unidentified Company Representative

  • Sorry, does 30...

    對不起,30...

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Does the initial 3800E come with 200 wafer per hour throughput?

    最初的 3800E 是否具有每小時 200 個晶圓的吞吐量?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • 195. Yes, 195, Mehdi.

    195. 是的,195,邁赫迪。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • And then my second question, Peter, you mentioned in your prepared remarks that with China, the risk is seeking license for NXT:2000, which I don't think is available. In the current earning conference calls, you have talked about the 5% downside risk to backlog due to increased restrictions. So how can you reconcile the 5% downside to NXT:2000 that I don't think is available yet?

    然後是我的第二個問題,彼得,你在準備好的發言中提到,在中國,風險是尋求 NXT:2000 的許可,我認為這是不可用的。在當前的收入電話會議中,您談到了由於限制增加而導致積壓 5% 的下行風險。那麼,您如何調和我認為尚不可用的 NXT:2000 的 5% 下行空間呢?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think, well, it's the NXT:2000, we don't make NXT:2000s anymore. We make 2050s and 2100s. But that's just a number. It's basically the NXT:2XXX. I think the 5% that we said in previous call had to do with the indirect effect of -- because it has to do with the October 7 rule, where basically we were able to ship lithography tools to China. You have to distinguish between the October 7 U.S. rules and these new trilateral rules, which are the Dutch export go through rules. The advanced immersion, which we interpret as NXT:2XXX, are the Dutch rules.

    是的。我想,嗯,是 NXT:2000,我們不再生產 NXT:2000s。我們製造 2050 年代和 2100 年代。但這只是一個數字。它基本上是 NXT:2XXX。我認為我們在之前的電話會議中所說的 5% 與間接影響有關——因為它與 10 月 7 日的規則有關,基本上我們能夠將光刻工具運送到中國。你必須區分 10 月 7 日的美國規則和這些新的三邊規則,這些規則是荷蘭出口通過規則。高級沉浸,我們解釋為 NXT:2XXX,是荷蘭規則。

  • Now the October 7 rules, we could ship every deep UV immersion tool to China only if there would have been a restriction on deposition and etch for instance, then we could be the indirect victim of this. And this was the 5%. Now currently, where we are today, I think almost all of the Chinese customers that I know have actually changed their road maps back from anything that potentially falls under this October 7 U.S. rules because they don't want to be blocked. So they basically are reverting back to 20-nanometer and above, which I just mentioned is a very significant market.

    現在 10 月 7 日規定,我們可以將每一個深紫外線浸沒工具運往中國,例如,只有在沉積和蝕刻受到限制的情況下,我們才能成為間接受害者。這是 5%。現在,就我們今天的情況而言,我認為我認識的幾乎所有中國客戶實際上已經將他們的路線圖從可能屬於 10 月 7 日美國規則的任何內容中更改回來,因為他們不想被阻止。所以他們基本上正在恢復到 20 納米及以上,我剛才提到這是一個非常重要的市場。

  • The Chinese domestic market for that product is huge. So they're just reverting back. That means that they don't order 2050s or 2100s, they will order 1980s, yes, and that's what they're doing. So I think the 5% actually goes down to -- it's not relevant anymore. It is now -- it's basically governed by the potential Dutch rules, which mean that it's [1980 and up] which, by the way, is not under export control as we see it today. And that means that, that market is still open and there's significant demand.

    該產品在中國國內市場巨大。所以他們只是回歸。這意味著他們不會訂購 2050 年代或 2100 年代,他們會訂購 1980 年代,是的,這就是他們正在做的事情。所以我認為 5% 實際上下降到 - 它不再相關了。現在 - 它基本上受潛在的荷蘭規則管轄,這意味著它是 [1980 年及以後],順便說一下,它不受我們今天看到的出口管制。這意味著,那個市場仍然開放,並且有很大的需求。

  • Operator

    Operator

  • And your next question comes from the line of Sara Russo from Bernstein.

    你的下一個問題來自 Bernstein 的 Sara Russo。

  • Sara Russo - Research Analyst

    Sara Russo - Research Analyst

  • In the commentary on the video that you released this morning and from what you have said during Q4, you mentioned that you're prioritizing shipment over system starts based on customer asks and that's helping you to -- that sort of help drive the strong system sales for this quarter. Can you talk a little bit more about the operational dynamics of that? And sort of what are the follow-on effects for next quarter and throughout 2023? And then I have a quick follow-up.

    在你今天早上發布的視頻的評論中以及你在第四季度所說的話中,你提到你根據客戶要求優先考慮發貨而不是系統啟動,這有助於你 - 這種幫助推動強大的系統本季度的銷售額。你能多談談它的運營動態嗎?下個季度和整個 2023 年的後續影響是什麼?然後我有一個快速跟進。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes, the operational consideration, first and foremost, was to make sure that cabins are empty, right, that you can -- that you really can -- that the systems that we're waiting for final parts were being completed and were being sent to customers in Q4. So that was it. We really wanted to make sure that both are based on customer demand and on getting cabins clean, getting all the inventory records clean. That was the reason why we did and then why we really prioritized the shipment over start.

    是的,運營方面的考慮,首先是確保機艙是空的,對,你可以——你真的可以——我們正在等待最終部件的系統已經完成並正在發送給第四季度的客戶。就是這樣。我們真的很想確保兩者都基於客戶需求和清潔客艙,清潔所有庫存記錄。這就是我們這樣做的原因,也是我們真正將裝運優先於開始的原因。

  • [R&D] does have an impact then on the output and therefore the shipments in Q1, and that's what you see. I think in all likelihood, what you will continue to see is that in the quarters to come, you will see output go up again. And there, you will see output go up again, also commensurate with the increase in capacity that we're having.

    [R&D] 確實對產量產生影響,因此對第一季度的出貨量產生影響,這就是你所看到的。我認為很可能,你會繼續看到的是,在接下來的幾個季度裡,你會看到產量再次上升。在那裡,你會看到產量再次上升,也與我們的產能增加相稱。

  • So that's what you -- that's in all likelihood what you're going to see in the quarters to come. So if nothing changes on the revenue recognition for fast shipment, we talked about that on prior calls that in all likelihood what you're going to see is that the EUR 1.5 billion that were now lower in terms of fast shipments carrying into -- from Q1 into Q2 versus what we received from Q4 into Q1. That EUR 1.5 billion, you might expect that we are going to over the next 3 quarters are going to build those. And as a result of that, have more output in those quarters for that EUR 1.5 billion in comparison to the revenue that we recognize.

    所以這就是你 - 這很可能是你將在未來幾個季度看到的。因此,如果快速裝運的收入確認沒有任何變化,我們在之前的電話中談到了這一點,您很可能會看到,就快速裝運而言,現在減少的 15 億歐元進入 - 從Q1 到 Q2 與我們從 Q4 到 Q1 收到的數據。那 15 億歐元,你可能預計我們將在接下來的三個季度內建立這些。因此,與我們確認的收入相比,這些季度的 15 億歐元產出更多。

  • So that, again, by the end of the year, we would be back to the EUR 3 billion.

    因此,到今年年底,我們將再次回到 30 億歐元。

  • Sara Russo - Research Analyst

    Sara Russo - Research Analyst

  • Okay, great.

    好的,太好了。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • And as I also mentioned before, at the end of Q2, we will give you an update of where we stand in our discussions with customers because as we mentioned on previous calls, there is an opportunity that this customers accept the shorter testing cycle that comes with the fast shipments. If customers are fully accepting that shorter testing cycle upon shipments than actually we could for -- for those customers and for those tools, we could start recognizing upon shipment again. And that would mean that the fast shipment [SAGA], at least for those customers will come to an end. And that would mean that, in fact, we could start recognizing upon shipment again.

    正如我之前也提到的,在第二季度末,我們將向您更新我們與客戶討論的立場,因為正如我們在之前的電話中提到的那樣,這些客戶有機會接受即將到來的更短測試週期與快速出貨。如果客戶完全接受比我們實際更短的裝運測試週期——對於那些客戶和那些工具,我們可以在裝運時再次開始識別。這將意味著快速發貨 [SAGA],至少對於那些客戶來說將結束。這意味著,事實上,我們可以在發貨時再次開始識別。

  • If that's going to be the case, then the EUR 3 billion would be lower by the end of the year. But it would also mean that in all likelihood revenue during this year will be up.

    如果情況確實如此,那麼到今年年底,30 億歐元將會減少。但這也意味著今年的收入很可能會增加。

  • Sara Russo - Research Analyst

    Sara Russo - Research Analyst

  • That makes sense, yes. And as a follow-up, is there any -- does that have any -- the sort of -- all that have any impact on average lead times? Or is there anything that we should consider as far as anticipating changes to lead times as the orders come down and sort of some of the orders not normalize and the backlog begins to normalize? Is there any change to lead time?

    這是有道理的,是的。作為後續行動,是否有任何 - 是否有任何 - 那種 - 對平均交貨時間有任何影響?或者,在訂單減少和一些訂單未正常化且積壓開始正常化時,我們應該考慮預期交貨時間的變化嗎?交貨時間有什麼變化嗎?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • No. I think lead time is as it is. I think what you've seen is that the -- with an order book that has 2x the system sales in there, the order time actually becomes larger than your normal lead time. I mean that's what you're going to see. That's what you saw as a result. And Peter talked about the backlog and talked about lumpy order intake. So no, I wouldn't expect any big changes on that front.

    不,我認為交貨時間是這樣的。我認為您所看到的是 - 訂單簿中的系統銷售額是系統銷售額的 2 倍,訂單時間實際上變得比您的正常交貨時間更長。我的意思是這就是你要看到的。這就是你看到的結果。彼得談到了積壓,談到了塊狀的訂單接收。所以不,我不希望在這方面有任何重大變化。

  • Operator

    Operator

  • And the next question comes from the line of Sandeep Deshpande from JPMorgan.

    下一個問題來自摩根大通的 Sandeep Deshpande。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • My question, Peter, is about -- more about latter half of this year into '24. In a scenario where things remain like this as they are today to the end of the year, how do you see things playing out?

    彼得,我的問題是——更多關於今年下半年進入 24 世紀。如果事情像今天這樣一直持續到年底,您如何看待事情的發展?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • So you mentioned what if -- what we're seeing today goes into the second half and goes into 2024?

    所以你提到如果 - 我們今天看到的情況進入下半年並進入 2024 年會怎樣?

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • Into the second half, yes, yes. Yes, that's correct. Yes.

    進入下半場,是的,是的。對,那是正確的。是的。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think if it's just the second half, it's -- yes, it's going to be the second half, we just are in this period for 1 or 2 quarters more. But as I said, ultimately, what drives their demand is the ultimate -- I believe our customers [effort] for 2024 and 2025, they need that capacity. Now this is all driven, like I said earlier, we are not looking at a massive recessionary environment. We're looking at a downturn, almost could say, it's a typical downturn situation in the semiconductor industry, which actually hasn't happened for a large number of years, where the supply just exceeds the demand.

    是的。我認為如果只是下半場,那是——是的,這將是下半場,我們只是在這個時期多呆了 1 或 2 個季度。但正如我所說,最終推動他們需求的是最終的——我相信我們的客戶 [努力] 到 2024 年和 2025 年,他們需要這種能力。現在這一切都是驅動的,就像我之前說的,我們沒有看到大規模的衰退環境。我們看到的是經濟低迷,幾乎可以說,這是半導體行業典型的低迷情況,實際上已經很多年沒有發生過,供大於求。

  • And we know the demand drivers, which are driven by the high inflation rates, the consumer confidence hit as a result of it, the geopolitical uncertainties. These are all the reasons why the end demand is now lower than what people expected. So [with this] classical semiconductor down cycle, whereby customers are working this off quite diligently, I mean they're all reducing their output, making sure that there is supply/demand balance for semiconductors. And that will be the point where you will see that turning and then it comes -- goes back up again. Now the big question is with what speed, at what slope is this recovery? That's your question.

    我們知道高通脹率、消費者信心因此受到打擊以及地緣政治不確定性所驅動的需求驅動因素。這些都是現在終端需求低於人們預期的原因。因此,[在這個] 經典的半導體下行週期中,客戶正在非常努力地解決這個問題,我的意思是他們都在減少產量,以確保半導體的供需平衡。那將是你會看到那個轉彎然後它來的點 - 再次返回。現在最大的問題是這種複甦的速度、斜率是多少?那是你的問題。

  • Well, I don't know. But what I do know is that nobody thinks about this massive recession. And we do see that elements like lower inflation rates will actually help the consumer confidence. We do see that growth rates in China are a bit better than we expected. So there all kinds of potential positives that will drive the 2024 demand. That's ultimately what we are looking at together with our customers. We just have to work through this a couple of quarters where we are today.

    嗯,我不知道。但我所知道的是,沒有人考慮過這場大規模的衰退。我們確實看到,較低的通貨膨脹率等因素實際上將有助於增強消費者信心。我們確實看到中國的增長率比我們預期的要好一些。因此,有各種潛在的積極因素將推動 2024 年的需求。這最終是我們與客戶一起關注的問題。我們只需要在今天的幾個季度內完成工作。

  • And that could last for another 1 or 2 quarters longer but that in -- given -- well, when you look at our backlog, look at our longer lead times, that's not a major issue to us. We're just going to grow next year, the way do we look at it today. And I think nothing that I see today gives me high concerns that we will not grow. I have the confidence that we will grow.

    這可能會再持續 1 或 2 個季度,但在 - 給定 - 好吧,當你看看我們的積壓訂單,看看我們更長的交貨時間,這對我們來說不是主要問題。明年我們只會增長,就像我們今天看待它的方式一樣。而且我認為我今天看到的任何事情都不會讓我高度擔心我們不會成長。我有信心我們會成長。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • I mean one quick follow-up on that would be on EUV. I mean given the long lead times for EUV, would you not be soon having to get in the orders for EUV by the end that will ship in '24 by -- in the next couple of quarters because otherwise, you will not be able to be ready for those shipments as such because you would have all of that in your backlog today.

    我的意思是關於 EUV 的快速跟進。我的意思是,考慮到 EUV 的交貨時間很長,您是否很快就必須在 24 年底前收到 EUV 的訂單 - 在接下來的幾個季度內發貨,否則,您將無法為這些貨物做好準備,因為今天你的積壓訂單中會有所有這些。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Correct, Sandeep. I mean like I said, on the back half of the second half, you could say Q4-ish of next year, we still need the order as well. We need to get those orders in for over the next 1, 2 quarters, yes. And I think that is going to be indeed something that we're going to discuss with our customers. And that's an expression of their confidence that they need those machines by that time. So yes, the next couple of quarters, we're going to see some of that. And if it doesn't come, then whole of those customers have different views in a couple of quarters from now than they have today.

    正確的,桑迪普。我的意思是就像我說的,在下半年的後半段,你可以說明年第四季度左右,我們仍然需要訂單。我們需要在接下來的 1、2 個季度內獲得這些訂單,是的。我認為這確實是我們要與客戶討論的事情。這表明他們相信到那時他們需要這些機器。所以是的,接下來的幾個季度,我們將看到其中的一些。如果它不來,那麼從現在開始的幾個季度裡,所有這些客戶都會有與今天不同的看法。

  • We'll just see at that moment in time, where it is. But at this moment in time, that's not the case. So I'm pretty confident that we will book those orders because, yes, you are right. We don't have all the orders yet for '24 or for early 2025 for that matter.

    我們會在那一刻及時看到它在哪裡。但此時此刻,情況並非如此。所以我非常有信心我們會預訂這些訂單,因為,是的,你是對的。我們還沒有 24 年或 2025 年初的所有訂單。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • All right. We have time for one last question. If you were unable to get through on this call and still have questions, please feel free to contact the ASML Investor Relations department with your question. Now operator, may we have the last caller, please?

    好的。我們有時間問最後一個問題。如果您未能接通此電話並且仍有疑問,請隨時聯繫 ASML 投資者關係部門提出您的問題。現在接線員,我們可以請最後一位來電者嗎?

  • Operator

    Operator

  • And your last question comes from the line of Joe Quatrochi from Wells Fargo.

    你的最後一個問題來自富國銀行的 Joe Quatrochi。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • Yes. I just wanted to go back to the comment that Peter made on China and talking about your Chinese customers moving back to older nodes in response to the export restrictions. Is that to assume that then -- that the Chinese memory customers, I guess, to stop taking lithography tools?

    是的。我只想回到 Peter 對中國發表的評論,並談論您的中國客戶為了應對出口限製而回到舊節點。那是不是假設——我猜中國內存客戶停止使用光刻工具?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Sorry was that talk about the Chinese memory customer? Yes, you know that for 3D NAND, you don't need advanced immersion. And yes, they will be challenged by the fact that they cannot get advanced deep UV, a DRAM. That will be -- I'll just have to figure that out. I mean -- they still want what they can get, so mid-critical immersion, which I don't think is going to present them a problem looking where their road map is today. It's not going to give them a problem today. But if you have a road map where you want to go further in 3 or 4 years from now, yes, you do have a problem. You should not forget that the Chinese memory customers, especially DRAM, are absolutely not at the, let's say, road map execution phase as the leading DRAM makers. They are still behind.

    對不起,那是在談論中國內存客戶嗎?是的,您知道對於 3D NAND,您不需要高級沉浸。是的,他們將面臨無法獲得先進的深紫外線 DRAM 這一事實的挑戰。那將是——我只需要弄清楚。我的意思是——他們仍然想要他們能得到的東西,所以中期臨界沉浸,我認為這不會給他們帶來問題,看看他們今天的路線圖在哪裡。今天不會給他們帶來麻煩。但是,如果你有一個路線圖,你想在 3 或 4 年後走得更遠,是的,你確實有問題。你不應該忘記,中國內存客戶,尤其是 DRAM,絕對不在,比方說,作為領先的 DRAM 製造商的路線圖執行階段。他們還落後。

  • So what they can buy under the export go through rules will help them today. But yes, they have to find different solutions. And I'm not a semiconductor manufacturing or design expert, but they have to either find a solution or they have to stay where they are today.

    因此,他們可以根據出口通行規則購買的東西今天將對他們有所幫助。但是,是的,他們必須找到不同的解決方案。而且我不是半導體製造或設計專家,但他們必須找到解決方案,否則他們必須留在今天的原地。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • Got it. That makes sense. And then just a quick follow-up. How do you think about the OpEx trajectory for this year? You kind of referenced maybe a little bit more prudent capital spending management, but any change in the OpEx trajectory?

    知道了。這就說得通了。然後只是快速跟進。您如何看待今年的運營支出軌跡?您可能提到了更謹慎的資本支出管理,但 OpEx 軌蹟有任何變化嗎?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • No, I don't think so. I think the -- you see the direction of travel on both R&D and on SG&A. And I think there, about 19% of revenue would be a good take, I think, for the full year. You see what we have on Q1. You see what we guided for Q2. So that gives you a good indication, I think, for what to model for the second half of the year.

    不,我不這麼認為。我認為——你可以看到研發和 SG&A 的發展方向。我認為,全年收入的 19% 左右是一個不錯的收入。你看我們在第一季度有什麼。您會看到我們對第二季度的指導。所以我認為,這給了你一個很好的指示,說明下半年的模型。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • All right. Now on behalf of ASML, I'd like to thank you all for joining us today. Operator, if you could formally conclude the call, I'd appreciate it. Thank you.

    好的。現在我代表 ASML,感謝大家今天加入我們。接線員,如果你能正式結束通話,我將不勝感激。謝謝。

  • Operator

    Operator

  • Thank you. This concludes the ASML 2023 First Quarter Financial Results Conference Call. Thank you for participating. You may now disconnect.

    謝謝。 ASML 2023 第一季度財務業績電話會議到此結束。感謝您的參與。您現在可以斷開連接。