艾司摩爾 (ASML) 2023 Q2 法說會逐字稿

內容摘要

半導體設備製造商 ASML 公佈了穩健的第二季度業績,淨銷售額為 69 億歐元。該公司強調,由於全球宏觀擔憂和半導體行業庫存水平較高,市場存在顯著的不確定性。

ASML 的訂單量有所增加,導致積壓約 380 億歐元。他們預計今年的淨銷售額增長將在 30% 左右,並對長期增長機會充滿信心。

該公司討論了 2024 年和 2025 年的產能計劃、已安裝基礎管理的潛在復蘇以及深紫外線和 EUV 裝置的增長前景。他們還提到了建築行業對熟練工人的需求以及新法規的潛在影響。

ASML 仍然專注於滿足客戶需求並擴大其在中國的業務。

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Good day, and thank you for standing by. Welcome to the ASML 2023 Second Quarter Financial Results Conference Call on July 19, 2023. (Operator Instructions) Please be advised that today's conference is being recorded. I would now like to hand the conference call over to Mr. Skip Miller. Please go ahead.

    美好的一天,感謝您的支持。歡迎參加 2023 年 7 月 19 日舉行的 ASML 2023 年第二季度財務業績電話會議。(操作員說明)請注意,今天的會議正在錄音。我現在想將電話會議交給斯基普·米勒先生。請繼續。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, operator. Welcome, everyone. This is Skip Miller, Vice President of Investor Relations at ASML. Joining me today on the call are ASML's CEO, Peter Wennink; and our CFO, Roger Dassen. The subject of today's call is ASML's 2023 second quarter results. The length of this call will be 60 minutes, and questions will be taken in the order that they are received. The call is also being broadcast live over the Internet at asml.com. A transcript of management's opening remarks and a replay of the call will be available on our website shortly following the conclusion of this call.

    謝謝你,接線員。歡迎大家。我是斯基普·米勒 (Skip Miller),ASML 投資者關係副總裁。今天與我一起參加電話會議的是 ASML 首席執行官 Peter Wennink;和我們的首席財務官羅傑·達森。今天電話會議的主題是 ASML 2023 年第二季度業績。本次電話會議時長為 60 分鐘,問題將按照收到的順序進行回答。此次電話會議還通過互聯網 asml.com 進行現場直播。本次電話會議結束後不久,我們的網站上將提供管理層開場白和電話會議重播的記錄。

  • Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities laws. These forward-looking statements involve material risks and uncertainties. For a discussion of risk factors, I encourage you to review the safe harbor statement contained in today's press release and presentation found on our website at asml.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

    在我們開始之前,我想提醒聽眾,管理層在本次電話會議中發表的評論將包括聯邦證券法含義內的前瞻性陳述。這些前瞻性陳述涉及重大風險和不確定性。對於風險因素的討論,我鼓勵您查看今天的新聞稿和演示文稿中包含的安全港聲明,該聲明可以在我們的網站 asml.com 上找到,也可以在 ASML 的 20-F 表格年度報告以及向美國證券交易委員會提交的其他文件中找到。外匯委員會。

  • With that, I would like to turn the call over to Peter Wennink for a brief introduction.

    說到這裡,我想把電話轉給 Peter Wennink,讓他做一個簡單的介紹。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you, Skip. Welcome, everyone, and thank you for joining us for our second quarter 2023 results conference call. Before we begin the Q&A session, Roger and I would like to provide an overview and some commentary on the second quarter 2023 as well as provide our view on the coming quarters. And Roger will start with a review of our second quarter 2023 financial performance with added comments on our short-term outlook. And I will complete the introduction with some additional comments on the current business environment and on our future business outlook.

    謝謝你,斯基普。歡迎大家,感謝您參加我們的 2023 年第二季度業績電話會議。在我們開始問答環節之前,羅杰和我想對 2023 年第二季度進行概述和一些評論,並提供我們對未來幾個季度的看法。 Roger 將首先回顧我們 2023 年第二季度的財務業績,並對我們的短期前景進行補充評論。我將通過對當前商業環境和未來商業前景的一些補充評論來完成介紹。

  • Roger?

    羅傑?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Thank you, Peter, and welcome, everyone. I will first review the second quarter financial accomplishments and then provide guidance on the third quarter of 2023. Let me start with our second quarter accomplishments. Net sales came in at EUR 6.9 billion, which is at the high end of our guidance. We shipped 13 EUV systems and recognized EUR 2 billion revenue from 12 systems this quarter. Net system sales of EUR 5.6 billion, which was mainly driven by Logic at 84%, with the remaining 16% coming from Memory. The net sales value of our fast shipments not yet recognized in revenue in the first half of 2023 amounts to EUR 1.4 billion. Installed Base Management sales for the quarter came in at EUR 1.3 billion as guided.

    謝謝你,彼得,歡迎大家。我將首先回顧第二季度的財務成就,然後提供 2023 年第三季度的指導。讓我從我們第二季度的成就開始。淨銷售額為 69 億歐元,處於我們指導的上限。本季度我們發貨了 13 個 EUV 系統,並從 12 個系統中確認了 20 億歐元的收入。系統淨銷售額為 56 億歐元,其中 84% 主要由邏輯驅動,其餘 16% 來自內存。 2023 年上半年,我們快速發貨的淨銷售額(尚未計入收入)達 14 億歐元。根據指導,本季度已安裝基礎管理銷售額為 13 億歐元。

  • Gross margin for the quarter came in at 51.3%, which is above our guidance, primarily driven by additional deep UV immersion revenue in the quarter, partly related to starting revenue recognition upon shipment for immersion systems that are fast shipped. On operating expenses, R&D expenses came in at EUR 1 billion, and SG&A expenses came in at EUR 281 million, both basically as guided. Net income in Q2 was EUR 1.9 billion, representing 28.1% of net sales and resulting in an EPS of EUR 4.93.

    本季度的毛利率為 51.3%,高於我們的指導,主要是由於本季度額外的深紫外浸沒式收入推動的,部分原因是快速發貨的浸沒式系統在發貨時開始確認收入。在運營費用方面,研發費用為 10 億歐元,SG&A 費用為 2.81 億歐元,兩者基本符合指導。第二季度淨利潤為 19 億歐元,占淨銷售額的 28.1%,每股收益為 4.93 歐元。

  • Turning to the balance sheet. We ended the second quarter with cash, cash equivalents and short-term investments at a level of EUR 6.3 billion.

    轉向資產負債表。第二季度末,我們的現金、現金等價物和短期投資達到 63 億歐元。

  • Moving to the order book. Q2 net system bookings came in at EUR 4.5 billion, which is made up of EUR 1.6 billion for EUV bookings and EUR 2.9 billion for non-EUV bookings. These values also include inflation corrections. Net system bookings in the quarter were driven by Logic with 69% of the bookings, while Memory accounted for the remaining 31%. At the end of Q2, we have around EUR 38 billion in our backlog.

    轉到訂單簿。第二季度淨系統預訂額為 45 億歐元,其中 EUV 預訂額為 16 億歐元,非 EUV 預訂額為 29 億歐元。這些值還包括通貨膨脹修正。本季度的淨系統預訂量由邏輯驅動,佔預訂量的 69%,而內存則佔剩餘的 31%。截至第二季度末,我們的積壓訂單約為 380 億歐元。

  • With that, I would like to turn to our expectations for the third quarter of 2023. We expect Q3 net sales to be between EUR 6.5 billion and EUR 7 billion. We expect our Q3 Installed Base Management sales to be around EUR 1.4 billion. Gross margin for Q3 is expected to be around 50%, a little below last quarter due to deep UV mix. The expected R&D expenses for Q3 are around EUR 1 billion and SG&A is expected to be around EUR 285 million.

    接下來,我想談談我們對 2023 年第三季度的預期。我們預計第三季度的淨銷售額將在 65 億歐元至 70 億歐元之間。我們預計第三季度安裝基礎管理銷售額約為 14 億歐元。由於深紫外線混合,第三季度的毛利率預計約為 50%,略低於上季度。第三季度的研發費用預計約為 10 億歐元,SG&A 預計約為 2.85 億歐元。

  • Our estimated 2023 annualized effective tax rate is expected to be between 15% and 16%. An interim dividend of EUR 1.45 per ordinary share will be made payable on August 10, 2023. In Q2 2023, we purchased around 0.8 million shares for a total amount of around EUR 500 million. As mentioned last quarter, in the current environment, we expect to see ongoing pressure on our free cash flow. As a result, we will be prudent in managing our cash flows and maintaining relatively higher levels of cash.

    我們預計 2023 年年化有效稅率預計在 15% 至 16% 之間。每股普通股 1.45 歐元的中期股息將於 2023 年 8 月 10 日支付。2023 年第二季度,我們購買了約 80 萬股股票,總金額約為 5 億歐元。正如上季度提到的,在當前環境下,我們預計自由現金流將面臨持續壓力。因此,我們將審慎管理現金流,保持較高的現金水平。

  • With that, I would like to turn the call back over to Peter.

    有了這個,我想把電話轉回給彼得。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you, Roger. As Roger has highlighted, another solid quarter in a dynamic environment. Significant uncertainty remains in the market due to a number of global macro concerns around inflation, rising interest rates, recession and the geopolitical environment, including export controls. Although certain end markets seem to be reaching the bottom of the cycle, the semiconductor industry is running at very high inventory levels, leading customers to moderate wafer output as the supply chain works to reduce and rebalance inventory levels.

    謝謝你,羅傑。正如羅傑所強調的那樣,這是動態環境中又一個穩定的季度。由於對通脹、利率上升、經濟衰退和包括出口管制在內的地緣政治環境的一系列全球宏觀擔憂,市場仍然存在重大不確定性。儘管某些終端市場似乎已到達週期底部,但半導體行業的庫存水平非常高,導致客戶在供應鏈努力減少和重新平衡庫存水平的同時控制晶圓產量。

  • In order to limit wafer output, customers continue to run at lower litho tool utilization levels. Customers remain cautious due to the uncertainty around the timing, the shape and the slope of the recovery.

    為了限制晶圓產量,客戶繼續以較低的光刻工具利用率水平運行。由於復蘇時間、形狀和斜率的不確定性,客戶保持謹慎態度。

  • We had an increase in bookings this quarter, resulting in a backlog of around EUR 38 billion exiting the second quarter. In our EUV business, we have seen some shifts in demand timing. The majority of the shifts are due to fab readiness, with some elements of uncertainty around recovery. Deep UV demand still exceeds supply. While we have seen delays in deep UV demand from some customers, it has been compensated by strong demand for tools that mature and mid-critical nodes, particularly in China. The demand fill rate for our Chinese customers over the last 2 years was significantly less than 50%, so they now take the opportunity to receive and install systems in their fabs as the supply of tools becomes available.

    本季度我們的預訂量有所增加,導致第二季度積壓約 380 億歐元。在我們的 EUV 業務中,我們看到需求時機發生了一些變化。大多數轉變是由於晶圓廠的準備情況所致,其中存在一些復甦方面的不確定因素。深紫外線需求仍然超過供應。雖然我們看到一些客戶對深紫外需求的延遲,但對成熟和中關鍵節點工具的強勁需求(尤其是在中國)彌補了這一需求。過去 2 年,我們中國客戶的需求滿足率遠低於 50%,因此,隨著工具供應的到位,他們現在抓住機會在其晶圓廠接收並安裝系統。

  • Turning to our business, starting with deep UV. We're now planning to ship more than 375 deep UV systems with a mix of over 25% immersion. For immersion systems using the fast shipment process, we have come to an agreement with customers on a reduced acceptance test procedure that allows revenue recognition on shipment. As a result, we now expect additional revenue of around EUR 700 million in 2023. And this, in turn, reduces the amount of delayed revenue out of the year, and we now expect around EUR 2.3 billion of delayed revenue from 2023 into 2024 versus around EUR 3 billion of delayed revenue as previously communicated. This incremental deep UV revenue increased the expected year-over-year growth of our non-EUV business from around 30% as communicated last quarter to around 50%.

    轉向我們的業務,從深紫外線開始。我們現在計劃運送超過 375 套深度紫外線系統,混合浸沒率超過 25%。對於使用快速發貨流程的浸入式系統,我們已與客戶就減少驗收測試程序達成協議,以便在發貨時確認收入。因此,我們現在預計 2023 年的額外收入約為 7 億歐元。這反過來又減少了當年延遲的收入金額,我們現在預計從 2023 年到 2024 年的延遲收入約為 23 億歐元。如之前通報的,約 30 億歐元的延遲收入。深紫外收入的增加將我們非 EUV 業務的預期同比增長從上季度公佈的 30% 左右提高到 50% 左右。

  • In EUV, due primarily to customer adjustments in timing -- in the demand timing related to delays in fab readiness as well as some remaining supply chain issues, we now expect to ship around 52 systems this year, translating to a year-over-year revenue growth for EUV of around 25% versus the previously communicated expectation of around 40%.

    在 EUV 中,主要由於客戶對時序的調整——與晶圓廠準備就緒延遲相關的需求時序以及一些剩餘的供應鏈問題,我們現在預計今年將出貨約 52 個系統,相當於同比EUV 的收入增長約為 25%,而之前傳達的預期約為 40%。

  • For the Installed Base business, with the current utilization rates, market uncertainty as well as timing of recovery, customers are delaying productivity and performance upgrades on their litho systems. Therefore, we now expect our Installed Base business this year to be similar to last year versus a growth of around 5% as previously communicated.

    對於已安裝基礎業務,鑑於當前的利用率、市場不確定性以及恢復時間,客戶正在推遲其光刻系統的生產力和性能升級。因此,我們現在預計今年的安裝基數業務將與去年相似,而之前所傳達的增長約為 5%。

  • In summary, based on our view today, with higher deep UV revenue, offset somewhat by lower expectations on our EUV and Installed Base business relative to last quarter, we now expect net sales growth for the year to move towards 30% versus the previously articulated expectation of over 25%. We still expect a slight improvement in gross margin compared to 2022. No change relative to what we said last quarter, as the positive margin impact from increased deep UV immersion revenue is expected to be offset by the dilutive impact of lower upgrade revenue in 2023.

    總而言之,根據我們今天的觀點,隨著深紫外線收入的增加,我們對 EUV 和安裝基礎業務的預期相對於上季度有所下降,在一定程度上抵消了這一影響,我們現在預計今年的淨銷售額增長將比之前闡述的增長 30%預期超過25%。與 2022 年相比,我們仍預計毛利率將略有改善。與我們上季度所說的相比沒有變化,因為深紫外線浸入式收入增加帶來的積極利潤影響預計將被 2023 年升級收入下降的攤薄影響所抵消。

  • On the geopolitical front, as it relates to export control, the final Dutch regulations that were published at the end of last month are basically aligned to our expectations communicated last quarter and published on our website. Due to these export control regulations, ASML will need to apply for export licenses with the Dutch government for all shipments of its most advanced immersion deep UV lithography systems, which means the TWINSCAN NXT:2000i and subsequent immersion systems.

    在地緣政治方面,由於涉及出口管制,上月底發布的荷蘭最終法規基本上與我們上季度傳達並在我們網站上發布的預期一致。由於這些出口管制法規,ASML 需要向荷蘭政府申請其最先進的浸沒式深紫外光刻系統(即 TWINSCAN NXT:2000i 和後續浸沒式系統)的所有發貨的出口許可證。

  • As a reminder, sales of ASML's EUV tools have already been restricted, and the business in China is predominately focused on mature and mid-critical nodes. The new Dutch export regulations will come into effect on September 1, 2023. There were also some reports in the media recently about additional U.S. export controls. Of course, we will and cannot respond to speculation. However, based on our current understanding, we do not expect to change our previously communicated view. Therefore, based on everything we have been made aware of as of today, we do not expect the Dutch and potential additional U.S. measures to have a material impact on our financial outlook for 2023 nor on our longer-term scenarios as communicated during our Investor Day in November last year.

    需要提醒的是,ASML的EUV工具的銷售已經受到限制,而在中國的業務主要集中在成熟和中關鍵節點。荷蘭新的出口法規將於2023年9月1日生效。最近媒體上也有一些關於美國額外出口管制的報導。當然,我們會也不能對猜測做出回應。然而,根據我們目前的理解,我們預計不會改變我們之前傳達的觀點。因此,根據我們今天所知的一切,我們預計荷蘭和美國可能採取的額外措施不會對我們 2023 年的財務前景或投資者日期間傳達的長期情景產生重大影響去年11月。

  • Looking towards next year, our customers across different market segments are currently more cautious due to the continued macroeconomic uncertainties. Based on our view last quarter, customers were expecting a recovery in the second half of this year, but it now seems that this is moving towards -- more towards 2024. Also, the shape and slope of the recovery remains unclear. However, based on a combination of the current firm demand and a strong backlog of around EUR 38 billion, there are clearly still opportunities for growth in 2024. But given the mentioned uncertainties, it's too early to be specific about the forecast for next year. We will continue to follow the market developments and update you on our view of next year in the coming quarters.

    展望明年,由於宏觀經濟的持續不確定性,我們不同細分市場的客戶目前都更加謹慎。根據我們上季度的觀點,客戶預計今年下半年會出現復甦,但現在看來,這種情況正在朝著 2024 年的方向發展。此外,復甦的形狀和斜率仍不清楚。然而,基於當前的堅定需求和約 380 億歐元的強勁積壓,2024 年顯然仍然存在增長機會。但考慮到上述不確定性,現在具體預測明年還為時過早。我們將繼續關注市場發展,並在未來幾個季度向您通報我們對明年的最新看法。

  • Despite the near-term uncertainty, the longer-term megatrends we talked about at our Investor Day are broadening the application space and fueling demand for advanced and mature nodes. Secular growth drivers in semiconductor end markets such as electrification and AI, along with increasing lithography intensity on future technology nodes, are driving demand for our products and services.

    儘管近期存在不確定性,但我們在投資者日討論的長期大趨勢正在擴大應用空間並刺激對先進和成熟節點的需求。電氣化和人工智能等半導體終端市場的長期增長動力,以及未來技術節點上光刻強度的增加,正在推動對我們產品和服務的需求。

  • In summary, while the current macro environment continues to create significant uncertainty, we are working through a strong backlog and expect growth this year towards 30%. In the near- to medium-term, customers remain cautious as they moderate wafer output to help lower inventory levels in the supply chain and to look to build confidence around the timing and the slope of the recovery. ASML and its supply chain partners are still actively adding and improving capacity to meet future customer demand as we remain confident in our long-term growth opportunity.

    總之,雖然當前的宏觀環境繼續帶來巨大的不確定性,但我們正在努力解決大量積壓訂單,預計今年的增長將達到 30%。在中短期內,客戶仍保持謹慎態度,因為他們會減少晶圓產量,以幫助降低供應鏈中的庫存水平,並希望對複甦的時間和斜率建立信心。 ASML 及其供應鏈合作夥伴仍在積極增加和提高產能,以滿足未來客戶的需求,我們對長期增長機會仍然充滿信心。

  • And with that, we would be happy to take your questions.

    因此,我們很樂意回答您的問題。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, Roger and Peter. The operator will instruct you momentarily on the protocol for the Q&A session. Beforehand, I'd like to ask that you kindly limit yourself to one question with one short follow-up, if necessary. This will allow us to get to as many callers as possible. Now operator, could we have your final instructions and then the first question, please?

    謝謝你們,羅杰和彼得。接線員將立即指導您了解問答環節的協議。在此之前,如果有必要,我想請您將自己限制在一個問題上,並進行簡短的跟進。這將使我們能夠接觸到盡可能多的來電者。現在,接線員,請給我們最後的指示,然後問第一個問題,好嗎?

  • Operator

    Operator

  • (Operator Instructions) The first question comes from the line of Krish Sankar from TD Cowen.

    (操作員說明)第一個問題來自 TD Cowen 的 Krish Sankar。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • I had two of them. Peter, I understand you don't want to give an outlook for next year, and I'm not looking for a revenue guidance, but if I look at from a unit standpoint or a system shipment standpoint, do you think deep UV and EUV units would grow in calendar '24 (inaudible) calendar '23?

    我有兩個。 Peter,我知道您不想給出明年的展望,我也不是在尋求收入指導,但如果我從單位角度或系統出貨量角度來看,您認為深紫外和 EUV單位會在日曆 '24(聽不清) 日曆 '23 中增長嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, if I would know this, then I would probably give you some outlook on 2024. But I just refer back to what we call the firm demand from our customers, which -- and the strong backlog. And of course, as you understand, our full 2024 year is not fully covered by POs. So still POs need to come in, but we do have firm demand. Now that is a demand that for 2024, you cannot decouple from the outlook on 2025. And 2025 clearly shows the opening and the first ramp of some significant advanced fabs in the logic space, for instance, the 2-nanometer fabs, 3-nanometer for both the -- all 3 leading customers. That, of course, leads to the firm demand in what we currently see. And that means we see significant opportunities also, like we said, certainly, for growth in 2024. However, we also need to realize that the uncertainties as it relates to macroeconomic developments and particularly, I think, the slope of the recovery.

    好吧,如果我知道這一點,那麼我可能會給您一些 2024 年的展望。但我只是回顧一下我們所說的客戶的堅定需求,以及大量的積壓。當然,正如您所知,採購訂單並未完全涵蓋 2024 年全年。所以採購訂單仍然需要進來,但我們確實有堅定的需求。既然這是 2024 年的需求,你就不能與 2025 年的前景脫鉤。2025 年清楚地表明邏輯領域一些重要的先進晶圓廠的開業和首次投入使用,例如 2 納米晶圓廠、3 納米晶圓廠對於所有 3 個主要客戶。當然,這導致了我們目前所看到的堅定需求。正如我們所說,這意味著我們當然也看到了 2024 年增長的重大機遇。然而,我們也需要認識到,與宏觀經濟發展有關的不確定性,特別是我認為與復蘇斜率有關的不確定性。

  • I think we will very likely as many analysts believe but also customers say, we will probably see, let's say, the [thrust] of this down cycle somewhere this year, and then we see a recovery coming. Then it's all about the slope of the recovery. And that's driven really by the macroeconomic uncertainty. So the extent to which they're going to add more capacity in 2024 due to, let's say, the macroeconomic situation, that's the uncertainty.

    我認為我們很可能會像許多分析師所認為的那樣,而且也有客戶所說的那樣,我們可能會在今年某個時候看到這種下行週期的[推力],然後我們會看到復蘇即將到來。然後就是複甦的斜率。這實際上是由宏觀經濟的不確定性驅動的。因此,由於宏觀經濟形勢,他們將在 2024 年增加多少產能,這就是不確定性。

  • I think in 2024, there's a higher level of uncertainty of those fabs that will take those machines because they need to ramp in 2025, the next nodes. That's pretty certain. But it's that uncertainty on the macroeconomic demand that makes us a bit more uncomfortable to give you some specific guidance on next year. So in summary, the order book looks good. The firm demand looks good, but I'd love to see all of that being translated into orders over the next couple of quarters. So this is why we also said we're going to follow this very closely, and we're going to keep you abreast of what we're seeing and what our customers are telling us in the next 1 or 2 quarters to come. And I know I didn't give you a specific answer, but I hope it was specific enough.

    我認為,到 2024 年,那些將採用這些機器的晶圓廠將面臨更高程度的不確定性,因為它們需要在 2025 年(下一個節點)實現產能提升。這是相當確定的。但正是宏觀經濟需求的不確定性讓我們不太願意為您提供明年的一些具體指導。總而言之,訂單簿看起來不錯。堅定的需求看起來不錯,但我希望看到所有這些都在接下來的幾個季度轉化為訂單。因此,這就是為什麼我們還表示我們將密切關注這一情況,並且我們將讓您及時了解我們所看到的情況以及我們的客戶在未來一兩個季度告訴我們的情況。我知道我沒有給你一個具體的答案,但我希望它足夠具體。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • No, it was. Thanks, Peter. I really appreciate the context and the input. And then a quick follow-up for Roger. Can you give us the composition of the backlog in terms of EUV, deep UV, Memory, Logic, China? And also, what do you expect China as a percentage of sales to be for this year?

    不,是的。謝謝,彼得。我真的很欣賞上下文和輸入。然後是羅傑的快速跟進。您能告訴我們 EUV、深 UV、內存、邏輯、中國方面積壓訂單的構成嗎?另外,您預計中國今年的銷售額佔比是多少?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. So the backlog in terms of composition (technical difficulty) combined. So that gives you, I think, a pretty (technical difficulty) in terms of regions, I think we told you before, that China is over 20% in the backlog, and that also drives our expectation for how you're going to see system sales develop in the next period. I think that that's the key composition of the (technical difficulty)

    是的。所以積壓是從構成(技術難度)上綜合起來的。因此,我認為,就地區而言,這給您帶來了相當大的(技術難度),我想我們之前告訴過您,中國的積壓量超過 20%,這也推動了我們對您將如何看待的期望系統銷售將在未來一段時間內發展。我認為這就是(技術難度)的關鍵組成

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Peter, I understand you don't have a clear picture on '24 outlook. But how are you adjusting your own capacity? Can you give us an update how we should think about DUV and EUV capacity into '24? And I have a follow-up.

    Peter,我知道您對 24 小時的前景沒有清晰的認識。但你如何調整自己的能力呢?您能否向我們介紹一下我們應該如何考慮 24 世紀 DUV 和 EUV 產能的最新情況?我有一個後續行動。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. That's a good question. I think this is also what we are, of course, internally discussing. But what we -- the capacity in 2024 is really a function of what we need in 2025. And the good thing about 2025 is when we look at the number of fab openings and the ramp profile of new fabs in '25 across our customer base, which also includes Memory, leads us to believe that we should be very careful in reducing our capacity in 2024. Because if you do that, you won't be able to ship in 2025, given the fact that our lead times in the supply chain are ranging from 12 to 15 to 18 months. So this means we will -- at this moment in time, we don't see any reason to reduce any capacity plans for 2024. Because -- and that's basically driven by our views on the 2025 time frame. So I don't expect any adjustments there, and we're not planning for it.

    是的。這是個好問題。當然,我認為這也是我們內部討論的內容。但我們 2024 年的產能實際上是我們 2025 年所需產能的函數。2025 年的好處是,我們可以看到 25 年整個客戶群中新晶圓廠的數量和新晶圓廠的產能情況。 ,其中也包括內存,使我們相信我們應該非常小心地減少 2024 年的產能。因為如果你這樣做,你將無法在 2025 年發貨,因為我們的供應交貨時間鏈條的期限從12個月到15個月到18個月不等。因此,這意味著我們將——目前,我們看不到任何理由減少 2024 年的任何產能計劃。因為——這基本上是由我們對 2025 年時間框架的看法所驅動的。所以我預計不會有任何調整,我們也沒有計劃這樣做。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • But perhaps the question has to do with the slope of the capacity ramp like on DUV going from 375 to 600, that requires significant ramp. And I'm just wondering if the ramp would look more like a step function in the latter part of '24 as you prepare for '25?

    但也許問題與容量斜坡的斜率有關,例如 DUV 從 375 到 600,這需要顯著的斜坡。我只是想知道,當你為 25 年做準備時,斜坡是否看起來更像是 24 年後半段的階躍函數?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, I mean, it's -- you talk -- it's different between the ramp and the capacity. I mean, the capacity is 600 units, but that's about 25% immersion. That's you could call expensive capacity. And the 75% is dry, which is less expensive capacity. We're just going to do that because we are currently -- this year plan to ship more than 375 systems. And I also feel that when we look at the firm demand, of course, for deep UV, we don't have all those orders, but the firm demand, then we actually need more capacity next year. So it's going to be -- capacity are step functions. It is not like a gradual function.

    嗯,我的意思是,坡道和容量之間是不同的。我的意思是,容量為 600 個單位,但浸入度約為 25%。這就是你可以稱之為昂貴的容量。 75% 是乾燥的,這是較便宜的容量。我們之所以這麼做,是因為我們目前 - 今年計劃發貨超過 375 個系統。我還覺得,當我們看到深紫外線的堅定需求時,我們並沒有所有這些訂單,但是堅定的需求,那麼我們明年實際上需要更多的產能。所以容量是階躍函數。它不像一個漸進函數。

  • So it means if we want to have 600 units by 2025, 2026, but somewhere by the end of 2024, in 2025, we need to have that step capacity built in the supply chain, whether we're going to put all the orders in, that's dependent on the demand. But I think what we're putting in for 2025, 2026 is therefore the remainder of the decade. So we need to do this anyway because we are strongly convinced, as I said in the prepared remarks, that the long-term view that we have on this market is still very much intact. So you have to distinguish between a ramp as a result, as you know, as a result of the market demand and the capacity ramp because the capacity ramp is a step function and its -- and serves the purpose for the longer term.

    因此,這意味著,如果我們希望到 2025 年、2026 年擁有 600 台,但到 2024 年底、2025 年,我們需要在供應鏈中建立這一步驟的產能,無論我們是否要將所有訂單都放在,這取決於需求。但我認為我們為 2025 年、2026 年投入的資金是這十年的剩餘時間。因此,無論如何我們都需要這樣做,因為正如我在準備好的發言中所說,我們堅信,我們對這個市場的長期看法仍然完好無損。因此,您必須區分市場需求導致的增長和產能增長,因為產能增長是階躍函數,並且服務於長期目的。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Got it. And my follow-up has to do with technology migration, especially on EUV NXE:3800E supposed to be a platform upgrade, which carries a higher ASP. And is my understanding that, that platform could be used for both 3- and 2-nanometer. Where are we with booking for those systems? And would that ASP uplift would provide you something as a cushion against challenging macro environment?

    知道了。我的後續工作與技術遷移有關,特別是EUV NXE:3800E應該是平台升級,它具有更高的ASP。據我了解,該平台可用於 3 納米和 2 納米。我們在哪裡預訂這些系統?平均售價的提升能否為您提供一些緩衝以應對充滿挑戰的宏觀環境?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. In terms of bookings, of course, the bookings for the 3800 are coming in because if you look at next year, next year is going to show you a good blend of 3600 and 3800 tools. So obviously, quite some of the bookings for EUV, Mehdi, that are currently coming in are also for the 3800.

    是的。當然,就預訂而言,3800 的預訂量正在增加,因為如果你看看明年,明年將會向你展示 3600 和 3800 工具的完美結合。顯然,目前收到的 EUV、Mehdi 的相當一部分預訂也是針對 3800 的。

  • The 3800, we promise you that on this call, we would disclose we hit the ASP and the ASP is at least north of EUR 200 million. So that is a clear indication, I think, of how that indeed will also help in terms of revenue. It will also help in terms of gross margin ultimately because even though it's a more expensive machine to make because bear in mind, there are commonality, there is quite some [commonality in parts] between the High-NA tool and the 3800 tool. It's a more expensive tool to make, but it's also a very healthy uptick in terms of ASP. So it will help both on the revenue side and also on the gross margin side, starting in '24, but definitely in '25 when the lion's share of the tools there will be 3800s.

    對於 3800,我們向您保證,在這次電話會議上,我們將披露我們達到了 ASP,並且 ASP 至少超過 2 億歐元。因此,我認為,這清楚地表明這確實也會對收入有所幫助。最終,它也將有助於提高毛利率,因為儘管它是一台製造成本更高的機器,但請記住,高數值孔徑工具和 3800 工具之間存在一些共性,在零件中存在相當多的共性。這是一種製造成本更高的工具,但就 ASP 而言,它也是一個非常健康的增長。因此,從 24 年開始,它將對收入和毛利率都有幫助,但肯定會在 25 年,屆時工具的最大份額將是 3800。

  • Operator

    Operator

  • And your next question comes from the line of Stephane Houri from ODDO.

    您的下一個問題來自 ODDO 的 Stephane Houri。

  • Stephane Houri - Research Analyst

    Stephane Houri - Research Analyst

  • Yes. I would like to speak about the gross margin because you have said basically that despite the changes in the growth rate of different products, you still see slight improvement this year, but you also confirmed the 54% to 56% in 2025. So that's quite an improvement. What does it mean about the ramp of 2024? And can you maybe give us some color on the ingredient for the increase in the gross margin until 2025?

    是的。我想談談毛利率,因為您基本上已經說了,儘管不同產品的增長率有所變化,但今年您仍然看到略有改善,但您也確認了2025年的54%至56%。所以這是相當的一種提升。 2024 年的斜坡意味著什麼?您能否給我們一些關於 2025 年之前毛利率增長的因素的信息?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • I think you heard our enthusiasm to share numbers on 2024 or lack thereof. So I'm not going to do that. The growth drivers for 2025 in terms of the gross margin, there's a number that I think are significant there. We just talked about one important one, and that's the 3800. Of course, that's an important driver of gross margin improvement, definitely also in 2025. So that's one.

    我想您已經聽到了我們是否熱衷於分享 2024 年的數據或缺乏數據。所以我不會那樣做。就毛利率而言,我認為 2025 年的增長動力非常重要。我們剛剛談到了一個重要的因素,那就是 3800。當然,這是毛利率改善的一個重要驅動因素,肯定也是在 2025 年。所以這就是其中之一。

  • The second one that I think is important in comparison to today. As you know, we are preparing both for capacity expansion on deep UV and Low-NA, but we're also preparing significantly and putting a lot of money into getting everything ready for High-NA. Both the manufacturing capacity here, we're building up teams in the field, et cetera, et cetera. That currently is a significant drag on our gross margin as we have it today because all of the costs that we're incurring to prepare for that capacity ramp and for preparing for High-NA everywhere in the entire organization goes straight to the gross margin today.

    與今天相比,我認為第二個很重要。如您所知,我們正在為深紫外和低數值孔徑的產能擴張做準備,但我們也在為高數值孔徑做好準備並投入大量資金。這裡的製造能力,我們正在該領域建立團隊等等。目前,這對我們的毛利率構成了重大拖累,因為我們為產能提升和整個組織各處的高NA做準備而產生的所有成本都直接計入今天的毛利率。

  • That effect should be gone by 2025 because at that point in time, you would hope that you're actually going to be in a position to utilize at least a significant part of that incremental capacity that you build. And also by that time, you would see meaningful numbers of High-NA. So those are really important drivers of gross margin. And the only other one that I probably would give you is that -- is on the service side.

    這種影響應該會在 2025 年消失,因為到那時,您會希望您實際上能夠至少利用您所建立的增量容量的很大一部分。到那時,您會看到有意義的高數值孔徑數量。因此,這些是毛利率的真正重要驅動因素。我可能會給你的唯一的另一個是——在服務方面。

  • As you know, we see a continued improvement of the EUV service margin in particular, but also in deep UV. And on both, we are driving to get the service margin up, both as a result of what we're doing on the revenue side, but also in terms of trying to further control the cost. So those are the main drivers why looking at 2025, we believe the scenarios that we gave you there, the 54% to 56% is a tenable and reasonable aspiration for us to have.

    如您所知,我們看到 EUV 服務利潤率持續改善,尤其是在深紫外領域。在這兩方面,我們都在努力提高服務利潤率,這既是因為我們在收入方面所做的事情,也是因為我們試圖進一步控製成本。因此,這些是展望 2025 年的主要驅動因素,我們相信我們為您提供的情景,即 54% 至 56% 是我們的一個可行且合理的願望。

  • Stephane Houri - Research Analyst

    Stephane Houri - Research Analyst

  • Okay. And a quick follow-up, if I may, is about the order book, the Memory now represents 31% of the bookings versus 21% last quarter. Is that the sign of a rebound in Memory? Or is it something special here?

    好的。如果可以的話,我可以快速跟進一下訂單簿,內存現在佔預訂量的 31%,而上季度為 21%。這是記憶力反彈的跡象嗎?或者說這裡有什麼特別的嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • No, I think that's just where we are at this moment. I mean part of it, that's the minority, by the way, is of course, some orders from Chinese Memory customers, but it's the minority. The majority is basically technology transitions out of the leading memory makers. They're just preparing for the next node transition, which is a technology transition, which need, of course, the type of machines and a type of technology that Roger just talked about, like, for instance, the EUV systems, the 3800s. And so this is what it is. It is not -- you shouldn't see this as an immediate addition to the memory output capacity, perhaps except that Chinese wants, but that's -- like we all know, that's bit critical to mature stuff. That's not leading-edge.

    不,我認為這就是我們目前所處的情況。我的意思是其中一部分,那是少數,順便說一句,當然是來自中國內存客戶的一些訂單,但這是少數。其中大部分基本上是領先內存製造商的技術轉型。他們只是在為下一個節點過渡做準備,這是一個技術過渡,當然需要羅傑剛才談到的機器類型和技術類型,例如 EUV 系統、3800s。事情就是這樣。它不是 - 你不應該將其視為對內存輸出容量的立即增加,也許除非中國人想要,但正如我們都知道的那樣,這對於成熟的東西來說有點關鍵。這不是前沿的。

  • Operator

    Operator

  • And your next question comes from the line of Sandeep Deshpande from JPMorgan.

    您的下一個問題來自摩根大通的 Sandeep Deshpande。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • Can you hear me?

    你能聽到我嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Very good.

    非常好。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • Peter, one question for you. I mean you talked about the challenging macro environment at the moment. How do you see -- I mean, you can see how utilization is doing at your customer base. On average, where do you see utilization is at the moment? Because that will be clearly the driver of when the customers start to get more positive in terms of orders back to you in the next few quarters. And secondly, in terms of China, China clearly is a very strong driver of your sales this year. I mean when we look at utilized revenue, when we hear the data points in the supply chain, at least in the logic companies in China, utilization is as bad if not worse than what we are hearing in other parts in the industry. So maybe to try to understand how sustainable these orders from China are into next year given that the end markets even in China seem to be incredibly weak at this point.

    彼得,問你一個問題。我的意思是你談到了目前充滿挑戰的宏觀環境。你怎麼看——我的意思是,你可以看到你的客戶群的利用率如何。平均而言,您目前的利用率如何?因為這顯然將成為未來幾個季度客戶開始對您的訂單更加積極的驅動力。其次,就中國而言,中國顯然是今年銷售的強勁推動力。我的意思是,當我們查看已利用的收入時,當我們聽到供應鏈中的數據點時,至少在中國的邏輯公司中,利用率與我們在行業其他部分聽到的情況一樣糟糕,甚至更糟。因此,考慮到即使是中國的終端市場目前似乎也非常疲弱,也許要嘗試了解這些來自中國的訂單到明年的可持續性如何。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. Good. Basically, the utilization question, good question (inaudible) distinguish between Memory and Logic. I think in Memory, I don't think we see a lot of bottoming out there. Yes, it could be -- you could argue, it's bottoming out, but we don't see a kind of an inflection point. In Logic, though, it's very early, but we could see some of an inflection point today. But that's just over the last -- the short period. So see how sustainable that is. But I would think if you think about that, that's bottoming out and you could even say we've passed an inflection point, although it's still early.

    是的。好的。基本上,利用問題,好問題(聽不清)區分內存和邏輯。我認為在內存方面,我認為我們不會看到太多觸底的情況。是的,有可能——你可能會說,它正在觸底,但我們沒有看到任何拐點。不過,在《邏輯》中,現在還為時過早,但我們今天可以看到一些拐點。但這只是最後一段——短暫的時期。那麼看看這有多可持續。但我認為,如果你考慮一下這一點,那就是觸底反彈,你甚至可以說我們已經過了一個拐點,儘管現在還為時過早。

  • Now on China, how sustainable is -- that's correct. I mean you see the same utilization trends in China as we see in the rest of the world. But you have to realize that the demand in China has 2 elements. One, of course, it needs to fulfill the current demand, and that's what we just talked about. I mean the current demand is, of course, weak. But the most important point is the strategic investments and the fabs are being built for a purpose. When you look at what's been made in China, it's mid-critical to mature semiconductors. And that's the sweet spot. When it comes -- when you look at the big megatrends, the big megatrends around the globe where China is leading as a matter of fact, when you think about electrification of mobility, think about the energy transition, the IoT in the industrial space, the rollout of the telecommunication infrastructure, battery technology, that's all -- that's the sweet spot of mid-critical and mature semiconductors.

    現在就中國而言,可持續性有多強——這是正確的。我的意思是,您在中國看到的使用趨勢與我們在世界其他地區看到的相同。但你必須意識到中國的需求有兩個要素。一當然是要滿足現在的需求,這就是我們剛才講的。我的意思是,當前的需求當然很弱。但最重要的一點是戰略投資和晶圓廠的建設是有目的的。當你看看中國製造的東西時,你會發現它對於成熟的半導體來說是中等關鍵的。這就是最好的地方。當它到來時——當你看到全球大趨勢時,事實上,中國在全球範圍內處於領先地位,當你思考移動的電氣化、能源轉型、工業領域的物聯網時,電信基礎設施、電池技術的推出,僅此而已——這是中關鍵和成熟半導體的最佳點。

  • And that's where China without any exception is leading. Now that means that the Chinese industry, the customers of the semiconductor industry need semiconductors of that kind. And I can just tell you in the discussions that we've had, the concern of many of our Chinese customers is that given the increase of the geopolitical tensions, they do not want to rely on supply that comes out of China. So it's very simple that they're going to build a significant amount of capacity in that space, in the mid-critical to mature semiconductors to actually fuel those megatrends where China is actually leading.

    而這正是中國毫無例外地處於領先地位的地方。現在這意味著中國產業、半導體產業的客戶需要這種半導體。我可以告訴你,在我們進行的討論中,我們許多中國客戶的擔憂是,鑑於地緣政治緊張局勢的加劇,他們不想依賴來自中國的供應。因此,很簡單,他們將在該領域建立大量產能,在中間關鍵到成熟的半導體領域,以真正推動中國實際上引領的大趨勢。

  • So if you then look at the big [whole] market and their desire because of the fear that they have on the increase in geopolitical tensions, they're going to build all those fabs themselves. And that's what's happening. Those fabs will be built. There are many new fabs and new companies that actually say we're going to provide those type of semiconductors to support these megatrends where China is indeed leading. And that's what's happening today. It's not so much the current macroeconomic or the market situation that drives the demand. It's the strategic investment that drives the demand because it's the dependence that part of the Chinese industry has on imports. And then I think it's very sustainable. That's very sustainable for the next couple of years.

    因此,如果你看看整個大市場和他們的願望,因為他們擔心地緣政治緊張局勢加劇,他們將自己建造所有這些晶圓廠。這就是正在發生的事情。這些晶圓廠將會建成。有許多新的晶圓廠和新公司實際上表示我們將提供此類半導體來支持中國確實引領的這些大趨勢。這就是今天發生的事情。推動需求的並不是當前的宏觀經濟或市場形勢。戰略投資推動了需求,因為中國部分產業對進口的依賴。然後我認為這是非常可持續的。這在未來幾年是非常可持續的。

  • Operator

    Operator

  • And your next question comes from the line of Sara Russo from Bernstein.

    你的下一個問題來自伯恩斯坦的薩拉·魯索(Sara Russo)。

  • Sara Russo - Research Analyst

    Sara Russo - Research Analyst

  • Can you hear me?

    你能聽到我嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • Sara Russo - Research Analyst

    Sara Russo - Research Analyst

  • Great. I was just wondering if you could give us an update on High-NA. So indications are that customers are not delaying the tech transition. So are you still on track for first shipments to customers in 2024? And have you seen any increase in orders as you get closer to those first shipments?

    偉大的。我只是想知道您能否向我們提供有關高數值孔徑的最新信息。因此有跡象表明客戶不會推遲技術轉型。那麼,您是否仍有望在 2024 年向客戶發貨?隨著第一批發貨的臨近,您是否看到訂單有所增加?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think we're still on track for the first shipment in 2024. Yes, we're -- actually, this year, we're starting to ship the first module. So that's on track, and that also means for 2024. Yes, I don't think they're delaying the introduction at all. You're absolutely right. And yes, we are still seeing orders coming in. So both is confirmative with the point made that -- and I think Roger alluded to that, that if there's anything on High-NA, we need to make sure that the supply chain, which, of course, needs to supply us with critical node technology will actually be on time. So our main focus is on the execution in the supply chain, not so much from the demand side. It's really about execution.

    是的。我認為我們仍有望在 2024 年首次發貨。是的,實際上,今年我們開始發貨第一個模塊。所以這一切都步入正軌,這也意味著 2024 年。是的,我認為他們根本沒有推遲推出。你是絕對正確的。是的,我們仍然看到訂單進來。所以兩者都證實了這一點——我認為羅傑提到了這一點,如果高NA上有任何東西,我們需要確保供應鏈,當然,需要向我們提供關鍵節點技術實際上會按時完成。所以我們主要關注的是供應鏈的執行,而不是需求方面的執行。這確實與執行有關。

  • Sara Russo - Research Analyst

    Sara Russo - Research Analyst

  • Great. And can we -- maybe could you give us a little bit of color on where you stand on High-NA orders in the backlog? So assuming that you now are sort of seeing a good number come in, can you give us a sense of orders in the backlog and timing of those orders?

    偉大的。我們可以——也許您能給我們一些關於積壓中高NA訂單的情況嗎?因此,假設您現在看到大量訂單進來,您能否向我們介紹一下積壓的訂單以及這些訂單的時間安排?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. We said before that our customers, given there is only a very limited number of customers for High-NA, our customers really do not want us to disclose PO bookings on High-NA. I mean that's the situation. That's why we're not sharing those data. But this -- for quite a while now, we're looking at double-digit numbers in the backlog, let me put it that way. And that's quite a while back that we started to cross that level.

    是的。我們之前說過,我們的客戶,鑑於High-NA的客戶數量非常有限,我們的客戶確實不希望我們披露High-NA的PO預訂。我的意思是情況就是這樣。這就是我們不共享這些數據的原因。但是,很長一段時間以來,我們都在關注積壓中的兩位數數字,讓我這樣說。很久以前我們就開始跨越這個水平了。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • And it's increasing.

    而且還在增加。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • And it's increasing. Yes.

    而且還在增加。是的。

  • Operator

    Operator

  • And your next question comes from the line of Francois Bouvignies from UBS.

    您的下一個問題來自瑞銀集團 (UBS) 的弗朗索瓦·布維尼 (Francois Bouvignies)。

  • Francois-Xavier Bouvignies - Technology Analyst

    Francois-Xavier Bouvignies - Technology Analyst

  • Can you hear me okay?

    你能聽到我說話嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Loud and clear.

    響亮而清晰。

  • Francois-Xavier Bouvignies - Technology Analyst

    Francois-Xavier Bouvignies - Technology Analyst

  • Perfect. So the first question is, obviously, Peter, you were clear on 2024 uncertainty, at least in terms of units, and you will come back later with a clear picture. And Roger, you started to talk about the ASP for the EUV next year with the E model coming to market, the 3800s. If I understand correctly, an ASP of close to 20% growth versus the older models. Can you help us -- give us some color on the ASP, so something you can have maybe more visibility on to next year for EUV. So you touched upon, but also deep UV with all the moving parts with China, your new models as well of deep UV in the market, the 2100s with a 20% improvement in overlay. You have inflation on top. So just how should we think about the ASP specifically (inaudible), if you like, about your (inaudible) businesses basically?

    完美的。所以,第一個問題顯然是,彼得,你對 2024 年的不確定性很清楚,至少在單位方面是這樣,稍後你會帶著清晰的情況回來。 Roger,隨著明年 E 型號 3800 上市,您開始談論 EUV 的 ASP。如果我理解正確的話,與舊型號相比,ASP 增長了近 20%。您能否幫助我們 - 給我們一些有關 ASP 的信息,以便您可以對明年的 EUV 有更多的了解。因此,您談到了深紫外線與中國的所有移動部件,您的新型號以及市場上的深紫外線,2100s 的覆蓋率提高了 20%。通貨膨脹是最重要的。那麼,如果您願意的話,我們應該如何具體考慮 ASP(聽不清),以及您的(聽不清)業務呢?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes. Francois, I think I was quite clear, I think, on the ASP for the 3800. So I said north of EUR 200 million. So I think that was clear. When it comes to ASPs in the deep UV landscape, of course, it's very widely distributed. And there, obviously, the mix effect is quite significant and that is true both within the portfolio of KrF of dry tools and also in wet tools. So you're absolutely right. I mean the new models that we're introducing, of course, give significant value to the customer, and therefore, command a significantly higher price than all the models. So that is clearly the case, but it is completely dependent on the mix within the dry business and the immersion business.

    是的。 Francois,我想我對 3800 的平均售價非常清楚。所以我說超過 2 億歐元。所以我認為這很清楚。當然,當談到深紫外景觀中的 ASP 時,它的分佈非常廣泛。顯然,混合效應非常顯著,無論是在乾式工具還是濕式工具的 KrF 產品組合中都是如此。所以你是完全正確的。我的意思是,我們推出的新型號當然為客戶帶來了巨大的價值,因此價格比所有型號都要高得多。顯然情況確實如此,但這完全取決於乾業務和沈浸業務的混合。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • And also in the immersion business, you have to also realize that -- what I said in the prepared remarks that we cannot ship our most advanced immersion tools to China, but we can ship our mid-critical immersion tools to China. And that, of course, gives -- even in the immersion scope gives quite a significant spread. So it's very difficult to give you one number for the deep UV numbers. It's basically too heterogeneous.

    同樣在沉浸式業務中,你還必須意識到——正如我在準備好的發言中所說的那樣,我們不能將最先進的沉浸式工具運送到中國,但我們可以將我們的中關鍵沉浸式工具運送到中國。當然,即使在沉浸範圍內,這也會帶來相當大的傳播。因此,很難給出一個深紫外數字。基本上太異類了。

  • Francois-Xavier Bouvignies - Technology Analyst

    Francois-Xavier Bouvignies - Technology Analyst

  • Okay. The second question is on the Installed Base Management. I mean if you look at the guidance of [flat], again, I understand that the level of upgrade is not as you maybe expect in the current environment. If we look at the guidance of flat , it would imply a decline in H2 year-over-year, at least. So how should we think about the level of -- Peter, you mentioned a small sign of recovery. It's early days, but it's been a small size and the fact that the Installed Base Management, I would imagine it would be very close to the demand in terms of recovery or utilization rates picking up. Just trying to reconcile that and how we should think about Installed Base Management into next year with your EUV as well going up and ASP per tool per year, I mean, business model?

    好的。第二個問題是關於安裝基礎管理的。我的意思是,如果您再次查看[扁平]的指導,我知道升級級別並不像您在當前環境中所期望的那樣。如果我們看一下持平的指導,這將意味著至少下半年同比下降。那麼我們應該如何考慮——彼得,你提到了一個小小的複蘇跡象。現在還處於早期階段,但規模較小,事實上,我認為安裝基礎管理在恢復或利用率回升方面將非常接近需求。只是試圖協調這一點,以及我們應該如何考慮明年的安裝基礎管理與您的 EUV 以及每年每個工具的 ASP 的上升,我的意思是商業模式?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Let me first take the question on '23 and then maybe, Peter, you want to expand it further. But as it comes to '23, I think the right frame of reference, of course, is not half year over half year, but is the second half in comparison to the first half. In the first half, we had EUR 2.7 billion and [flat] would mean that we're going to have EUR 3 billion in the second half. So that would point at a recovery. And given the guidance that we've given for Q3. Q3, we indicated EUR 1.4 billion. So it doesn't take a lot of compute power to calculate it. That would mean EUR 1.6 billion for Q4. So that tells you that indeed, we are looking at a recovery there. That would be commensurate with the perspective of the recovery that Peter has been talking about. But that's what we're looking at for this year and the slower recovery there.

    讓我首先回答 23 年的問題,然後彼得,也許你想進一步擴展它。但說到23年,我認為正確的參照系當然不是半年比半年,而是下半年與上半年的比較。上半年,我們有 27 億歐元,[持平]意味著下半年我們將有 30 億歐元。所以這表明經濟正在復蘇。並給出了我們為第三季度提供的指導。第三季度,我們表示為 14 億歐元。因此不需要太多的計算能力來計算它。這意味著第四季度的收入為 16 億歐元。所以這告訴你,我們確實正在看到那裡的複蘇。這與彼得一直在談論的恢復的觀點是相稱的。但這就是我們今年所關注的情況,而且那裡的複蘇速度會較慢。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. And I think the slope of recovery is critical and very important because like I said, although it's very early, but you could argue and you look at the utilization graphs, you could think that there is an inflection point for Logic. We've had that. And then -- but it's still pretty early on. But if that would continue, then it's really important to look at the slope because for upgrade business, you -- basically, you could argue you have a relatively short period of time before you hit, again high utilization and then customers say, well, I don't have the time. I don't want to shut down the tool. So I think we will watch this very carefully together with our customers to say, okay, looking at the slope of the slope accelerates, then we really need to start negotiating with the customer quickly to put in more upgrades. And that could be an upside when the recovery accelerates.

    是的。我認為恢復斜率至關重要且非常重要,因為就像我說的,雖然現在還很早,但你可能會爭論,並且查看利用率圖表,你可能會認為 Logic 存在一個拐點。我們已經經歷過了。然後——但現在還為時過早。但如果這種情況繼續下去,那麼關注斜率就非常重要,因為對於升級業務,基本上,您可以說在達到高利用率之前您有相對較短的時間,然後客戶說,好吧,我沒有時間。我不想關閉該工具。因此,我認為我們將與客戶一起非常仔細地觀察這一點,然後說,好吧,看著斜坡的斜率加速,那麼我們確實需要快速開始與客戶談判以進行更多升級。當經濟復甦加速時,這可能是一個好處。

  • Whether it's a slower degree slope, they probably take a bit more time. But that's also where it's the same reason. We now have time to do upgrades because we don't have a full utilization of the installed base. So there is some upgrade there. But still, customers are currently saying market is not good. It's still CapEx because there are high value upgrades. So they're a bit cautious now. But yes, we have to start being very close to our customers in the next couple of quarters to say if we see an opportunity, let's go because before you know it, they don't have time.

    無論是較慢的度數斜率,它們都可能需要更多的時間。但這也是同樣的原因。我們現在有時間進行升級,因為我們沒有充分利用已安裝的基礎。所以那裡有一些升級。但儘管如此,客戶目前仍表示市場狀況不佳。它仍然是資本支出,因為有高價值的升級。所以他們現在有點謹慎。但是,是的,我們必須在接下來的幾個季度開始與客戶保持非常密切的聯繫,告訴他們如果我們看到機會,那就走吧,因為在您意識到之前,他們已經沒有時間了。

  • Operator

    Operator

  • And your next question comes from the line of Aleksander Peterc from Societe Generale.

    您的下一個問題來自法國興業銀行的 Aleksander Peterc。

  • Aleksander Peterc - Equity Analyst

    Aleksander Peterc - Equity Analyst

  • I just have two. First one would be, we talk about the recovery being pushed out somewhat and you do give a cautious message on 2024. So my question is really, is there a possibility that the significant fab openings you talk about in '25 could be pushed out by 6 months or a year? Is that something that's possible? I mean, if the customers have [idle] capacity for longer when they push out capacity additions as a result? Or are all of those strategic plant openings really strategic that will go ahead regardless of demand pattern? That's the first one. I have a follow-up.

    我只有兩個。第一個是,我們談論的複蘇被推遲了一些,而您確實在 2024 年給出了謹慎的信息。所以我的問題是,您在 25 年談論的重要晶圓廠空缺是否有可能被推遲6個月還是一年?這有可能嗎?我的意思是,當客戶因此推出容量增加時,是否有更長時間的[閒置]容量?或者,所有這些戰略性工廠的開設是否真的具有戰略意義,無論需求模式如何,都會繼續進行?這是第一個。我有一個後續行動。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. Yes. I think on this -- on the leading-edge logic fabs, they will happen. I mean, they have -- basically, it's not -- and that's driven by the road maps of the customers of our customers. It's the Apples, the Qualcomms, the NVIDIAs of this world, [whereas] you have a very clear road map based on the 20- or the 3-nanometer designs, and they want those new products to be introduced at that time. So that's going to happen. We have little doubt there. And I think on the strategic fabs in China, I made that very clear. I think it's just a strategic, very clear focus area that they have because they want to hedge against any negative geopolitical repercussions that could come. So that's also strategic. So I see a little downside in 2025.

    是的。是的。我認為在領先的邏輯工廠上,它們將會發生。我的意思是,他們已經——基本上沒有——這是由我們客戶的客戶的路線圖驅動的。這是這個世界上的蘋果、高通、NVIDIA,[而]你有一個基於 20 或 3 納米設計的非常清晰的路線圖,他們希望當時推出這些新產品。所以這將會發生。我們對此毫無疑問。我認為關於中國的戰略晶圓廠,我已經說得很清楚了。我認為這只是他們的一個非常明確的戰略重點領域,因為他們希望對沖可能出現的任何負面地緣政治影響。所以這也是戰略性的。所以我認為 2025 年會出現一些下行。

  • Aleksander Peterc - Equity Analyst

    Aleksander Peterc - Equity Analyst

  • Excellent. And then just kind of a technical follow-up on the EUR 700 million [catch-up] in deep UV that are moving out of fast shipments. Did all of that occur in the second quarter that you reported? Or [is it when] they reported in the current quarter? And [is in] what proportions, please? And while we're talking of fast shipments, our discussions on a similar (inaudible) the table for EUV? Or is that on the table now?

    出色的。然後,這只是對價值 7 億歐元的深紫外[追趕]的技術後續行動,該產品正在快速發貨。所有這些都發生在您報告的第二季度嗎?或者他們在本季度報告的時間?請問比例是多少?當我們談論快速發貨時,我們是否討論過類似(聽不清)的 EUV 表格?或者現在已經擺在桌面上了嗎?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • So the EUR 700 million is the expectation that we have for the end of the year, right? So of course, there will be a little bit of [flux] during the year, but the EUR 700 million is the expectation that we have for that in the year. Of course, we had some of that also in this quarter, but the EUR 700 million really is the expectation that we see for the full year.

    那麼 7 億歐元是我們對年底的預期,對吧?因此,當然,今年會有一些變化,但 7 億歐元是我們今年的預期。當然,本季度我們也實現了其中一些目標,但 7 億歐元確實是我們對全年的預期。

  • As it comes to EUV, it's based on the conversations that we've had with the customers, they are very happy to take the risk of the tool for the immersion tools upon shipment and based upon a shorter testing program for EUV were not there yet. So the question will be also, based on how next year is going to pan out, I think that we're going to get the question of how much fast shipment are we going to see for EUV next year in comparison to normal shipments? I think that's the primary question that we have on EUV. So if you think about the -- to what extent could we have some tailwind from that -- in that regard, I think it will be heavily dependent on what we're going to do in terms of regular versus fast shipment.

    就 EUV 而言,這是基於我們與客戶進行的對話,他們非常樂意在發貨時承擔浸入式工具的風險,並且基於 EUV 的較短測試計劃尚未出現。因此,問題是,根據明年的情況,我認為我們會得到這樣一個問題:與正常出貨量相比,明年 EUV 的出貨量會快多少?我認為這是我們對 EUV 的首要問題。因此,如果你考慮一下——我們能在多大程度上從中獲得一些順風車——在這方面,我認為這將在很大程度上取決於我們在定期發貨和快速發貨方面要做的事情。

  • And there are 2 considerations there for next year. One consideration is that as a standard procedure, when we introduce new technology, we want to test them more, right? So the 3800 clearly is significant development in our EUV shop, and that means that at least for a number of tools, we want to do more testing and more elaborate testing and therefore, at least for a number of the initial tools, we wouldn't fast ship them. So we will do regular shipments and do the full testing program. And secondly, as I mentioned, it will be dependent on the utilization of our capacity, right? Because fast shipment is a way to get the tool earlier to the customer, but it's also a way to optimize our capacity. So it will be driven by those 2 considerations, what we're going to see there next year in terms of type of shipments, and that will tell you whether or not we're going to get any tailwind for EUV revenue as a result of that.

    明年有兩個考慮因素。一個考慮因素是,作為一種標準程序,當我們引入新技術時,我們想要對其進行更多測試,對吧?因此,3800 顯然是我們 EUV 車間的重大發展,這意味著至少對於許多工具,我們希望進行更多測試和更精細的測試,因此,至少對於許多初始工具,我們不會'不要快速運送它們。因此,我們將定期發貨並進行完整的測試計劃。其次,正如我提到的,這將取決於我們產能的利用率,對嗎?因為快速發貨是讓客戶更早獲得工具的一種方式,也是優化我們產能的一種方式。因此,這將受到這兩個因素的驅動,即我們明年將在出貨類型方面看到什麼,這將告訴您我們是否會因為以下原因而獲得 EUV 收入的任何推動力:那。

  • Operator

    Operator

  • And your next question comes from the line of Alexander Duval from Goldman Sachs.

    你的下一個問題來自高盛的亞歷山大·杜瓦爾。

  • Alexander Duval - Head of Europe Tech Hardware, Semiconductors & Video Games Research

    Alexander Duval - Head of Europe Tech Hardware, Semiconductors & Video Games Research

  • You spoke about a pushout in demand timing for EUV. I wonder to what extent we should think about this as a one-off push out from '23 to '24 given the customers presumably would still need these tools for their fabs that are still getting built. And their customers, in turn, have product aspiration for '25 that you've just mentioned? Or to what extent would you expect some 2024 units to be subsequently pushed into 2025? And then I've got a quick follow-up.

    您談到了 EUV 需求時間的推遲。我想知道我們應該在多大程度上將其視為從 23 年到 24 年的一次性推出,因為客戶可能仍然需要這些工具用於仍在建設的晶圓廠。反過來,他們的客戶對您剛才提到的“25”產品有渴望嗎?或者您預計 2024 年的一些單位將在多大程度上推遲到 2025 年?然後我會進行快速跟進。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Good question. We need to realize it. If you look at the reasons, predominantly the (inaudible) had to do with fab readiness. And that was basically driven by construction skills. And you think, well, how can that be? You just hire a couple of construction workers, and you just build a fab. We're just building a EUR 20 billion fab that's going to do a 5- or a 3- or a 2-nanometer product is a skill. And people don't seem to realize that when we start building those fabs across the globe now and now everywhere, that scale is -- has been refined over the last couple of decades in only a few places on the planet, and predominantly in Taiwan and in Korea, and a bit in China.

    好問題。我們需要認識到這一點。如果你看看原因,主要是(聽不清)與晶圓廠準備情況有關。這基本上是由建築技能驅動的。你會想,那怎麼可能呢?你只需僱用幾個建築工人,就可以建造一座晶圓廠。我們正在建造一座耗資 200 億歐元的晶圓廠,生產 5 納米、3 納米或 2 納米產品是一項技能。人們似乎沒有意識到,當我們現在開始在全球各地建造這些晶圓廠時,其規模在過去幾十年中僅在地球上的少數幾個地方得到了改善,主要是在台灣在韓國,還有一點在中國。

  • Now having to do that now and accelerate this will lead to all kinds of issues because we are still building those fabs in Korea and in Taiwan, but also in other places on the planet, also in the U.S., for instance. So getting access to the requisite skills and skilled workers to keep the construction plan on time is a challenge as at least what customers tell us. And this is the main reason. So you can easily look at a delay of a couple of months or a quarter.

    現在必須這樣做並加速這將導致各種問題,因為我們仍在韓國和台灣建設這些晶圓廠,但也在地球上的其他地方,例如在美國。因此,獲得必要的技能和熟練工人來按時完成施工計劃是一項挑戰,至少客戶告訴我們是這樣。這是主要原因。因此,您可以輕鬆查看幾個月或一個季度的延遲。

  • Now -- and of course, like I mentioned earlier, we need those 2-nanometer fabs or 3-nanometer fabs in 2025. But it also means we need to resolve in, let's say, an 18-month period, yes, some of those skills gaps. And then -- but I think it might easily be a problem also at the end of next year, but let's see how quickly they can skill up the construction industry to help build those fabs. So that's the predominant reason for the timing changes or the demand timing changes. And of course, has also been in this particular year where there's a few supply chain issues that address 1 or 2 systems, but it was predominantly, it was just fab readiness and for the reasons that I just mentioned. And I hope they get reskilled quickly and that at the end of 2024, we don't have those issues.

    現在——當然,就像我之前提到的,我們在 2025 年需要那些 2 納米晶圓廠或 3 納米晶圓廠。但這也意味著我們需要在 18 個月的時間內解決,是的,一些這些技能差距。然後——但我認為到明年年底這也可能很容易成為一個問題,但讓我們看看他們能多快提高建築行業的技能來幫助建造這些工廠。因此,這是時間變化或需求時間變化的主要原因。當然,在這個特定的年份裡,也存在一些解決 1 或 2 個系統的供應鏈問題,但主要是,這只是晶圓廠的準備情況,並且出於我剛才提到的原因。我希望他們能夠迅速重新掌握技能,到 2024 年底,我們就不會再遇到這些問題。

  • Alexander Duval - Head of Europe Tech Hardware, Semiconductors & Video Games Research

    Alexander Duval - Head of Europe Tech Hardware, Semiconductors & Video Games Research

  • And just a quick follow-up. We've seen some news flow on demand for leading-edge ships driven by AI applications. Could you just share your latest views on any growth opportunity from AI in 2024, given that obviously 2023 shipment schedules are full? I think you alluded in your video prepared remarks to that potentially being an incrementally supportive driver of demand. So just curious for any thoughts there.

    只是快速跟進。我們已經看到一些關於人工智能應用驅動的前沿船舶需求的新聞。鑑於 2023 年的出貨計劃顯然已滿,您能否分享一下您對 2024 年人工智能增長機會的最新看法?我認為您在視頻中提到了準備好的評論,這可能是需求的增量支持驅動力。所以只是好奇那裡有什麼想法。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think that's true. But I think we're at the beginning of this, you could say, AI high-power compute wave. So yes, you'll probably see some of that in 2024. But you have to remember that we have some capacity there, which is called the current underutilization. So yes, we will see some of that, but that will be taken up, the particular demand, by the installed base. Now -- and that will further accelerate. I'm pretty sure. But that will definitely mean that, that will be, you could say, the shift to customer by 2025. So I don't see that or don't particularly expect that, that will be a big driver for additional shipments in 2024, given the utilization situation that we see today.

    是的。我認為這是真的。但我認為我們正處於人工智能高功率計算浪潮的開端。所以,是的,你可能會在 2024 年看到其中的一些。但你必須記住,我們在那裡有一些產能,這被稱為當前未充分利用。所以,是的,我們會看到其中的一些,但這將由安裝基礎來滿足,即特定需求。現在——而且這將進一步加速。我相當確定。但這肯定意味著,你可以說,到 2025 年將轉向客戶。因此,我不認為或不特別期望這一點,這將成為 2024 年額外出貨量的一大推動力,因為我們今天看到的利用情況。

  • Operator

    Operator

  • And your next question comes from the line of Joe Quatrochi from Wells Fargo.

    您的下一個問題來自富國銀行的 Joe Quatrochi。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • Yes. One on domestic China demand, you talked about a fill rate that was less than 50%. Do you expect to be caught up to that exiting this year? Or will you still be trying to kind of fulfill that demand looking into '24?

    是的。關於中國國內需求,您提到填充率低於 50%。您預計今年會趕上退出的步伐嗎?或者在 24 世紀,您是否仍會嘗試滿足這一需求?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. I think we're still -- like we said, also in the prepared remarks that the demand is still more than we can ship. So that also means that we still have a fill rate that's not 100%. It's still lower than -- of course, it's significantly higher than the significantly lower than 50% that we saw in '21 and '22, where we had [screening] customers. So we simply couldn't ship (inaudible) China was one of the real victims. Now of course, today, with the fabs being ready there, (inaudible) being there, anything that doesn't ship to any other country goes to China. But there's still some demand that will move into 2024 because we don't have 100% fill rate today.

    是的。我認為我們仍然——就像我們在準備好的講話中所說的那樣,需求仍然超過我們的運輸能力。所以這也意味著我們的填充率仍然不是 100%。它仍然低於——當然,它明顯高於我們在 21 年和 22 年看到的顯著低於 50% 的水平,當時我們對客戶進行了篩選。所以我們根本無法運送(聽不清)中國是真正的受害者之一。當然,現在,隨著晶圓廠在那裡準備就緒,(聽不清)在那裡,任何不運往任何其他國家的東西都會運往中國。但仍有一些需求會持續到 2024 年,因為我們目前的填充率還沒有達到 100%。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • Got it. And then just as a follow-up. In the recovery of the Installed Base Management business that you talked about implied for 4Q '23, is that predicated on just logic alone? Or is there also some expectation that you see some memory recovery embedded in that?

    知道了。然後作為後續行動。您談到的安裝基礎管理業務的複蘇暗示著 23 年第四季度,這是否僅基於邏輯?或者你是否也期望看到其中嵌入了一些記憶恢復?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • I think we don't. Somewhere down the line, there will be a recovery, because that's going to be -- probably when we go through these inflection points in the second half of this year. And then it's all about the slope of the recovery. And this is where we have some uncertainty that we expressed loud and clear, I think. And that's the uncertainty that we get from customers because they don't know either. So I think it's a bit too early.

    我想我們不這麼認為。未來某個時候,將會出現復甦,因為這可能會在我們今年下半年經歷這些拐點時發生。然後就是複甦的斜率。我認為,這就是我們大聲而明確表達的一些不確定性的地方。這就是我們從客戶那裡得到的不確定性,因為他們也不知道。所以我認為現在有點太早了。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • I think it's fair to assume that the utilization rates of memory are lower than the utilization rate on logic. So there, it's reasonable to assume that logic would be ahead of the curve in terms of upgrades.

    我認為可以公平地假設內存利用率低於邏輯利用率。因此,可以合理地假設邏輯在升級方面將領先於曲線。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes, also because -- like I said earlier, you could argue when we look at the stats, you could already see an inflection point. But it's -- like I said, it's very early on. So we just have to see how that continues over the next couple of weeks and months on logic.

    是的,也是因為——就像我之前說的,當我們查看統計數據時,你可能會爭論,你已經可以看到一個拐點。但正如我所說,現在還為時過早。所以我們只需要看看接下來幾周和幾個月的邏輯如何繼續。

  • Operator

    Operator

  • And your next question comes from the line of C.J. Muse from Evercore ISI.

    您的下一個問題來自 Evercore ISI 的 C.J. Muse。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • I guess first question for Roger. I think you fairly clear on the call that no changes to kind of the capacity adds. So curious how we should think about OpEx growth into 2024?

    我想第一個問題是問羅傑的。我認為您在電話會議上相當清楚地表明,容量增加的種類不會發生變化。很好奇我們應該如何看待 2024 年的運營支出增長?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes, I think the OpEx that we're currently guiding for the year, I think that's a pretty good estimate, I think, for what we see for the rest of the year. I think in terms of next year, I think it will also be a little bit dependent on how we further see things develop. And that, to a certain extent, will at least drive also the SG&A side of life.

    是的,我認為我們目前指導的今年運營支出對於我們今年剩餘時間的情況來說是一個相當不錯的估計。我認為就明年而言,我認為這也將在一定程度上取決於我們如何進一步看待事情的發展。在某種程度上,這至少也會推動生活的SG&A 方面。

  • On R&D, as you know, we continue to have really good ideas. And on R&D, we typically try to play this on the longer term. So I think it is realistic to assume that on R&D, you will see some increase, albeit at a slightly lower pace than the very sharp increases that you've seen in the past couple of years.

    在研發方面,如您所知,我們仍然有非常好的想法。在研發方面,我們通常會嘗試著眼長遠。因此,我認為可以現實地假設,在研發方面,您會看到一些增長,儘管速度略低於過去幾年看到的急劇增長。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • Very helpful. And then, Peter, I guess as a follow-up, I know that you're actively working with the Dutch government. But curious as to your kind of thoughts around any potential time line from hearing from maybe more restrictive kind of thoughts out of the U.S. government.

    很有幫助。然後,彼得,我想作為後續行動,我知道你正在積極與荷蘭政府合作。但很好奇您對任何可能的時間表的想法,因為從美國政府那裡聽到了可能更具限制性的想法。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. Of course, we have regular discussions with -- now Dutch government, which is inactive because of the political situation here, so [we are] going to prepare for new elections. But I think we just have to wait what comes out of the U.S. now -- but the reason why we said -- based on what our understanding is, and I jokingly said here internally, it wasn't even jokingly, I actually meant it. I've been in this business for quite a long time. And my hunch about what the Dutch were finally going to say in the end was about right. So this is why we (inaudible) in March. And I also have a kind of a hunch on what's going to happen for the rest of the year and with the new rules. And my just gut feel is based on what we hear, and our understanding is not going to have a material impact. But having said that, we don't know exactly what the content of those new regulations is going to be. But we just have to wait. I think Japan came out, the Dutch came out. I think the U.S. government will probably come out soon. And then we'll know for sure whether my hunch or my gut feel is correct.

    是的。當然,我們與現在的荷蘭政府進行定期討論,由於這裡的政治局勢,荷蘭政府不活躍,所以[我們]將為新的選舉做準備。但我認為我們現在只需要等待美國的結果 - 但我們之所以這麼說 - 基於我們的理解,我在內部開玩笑地說,這甚至不是開玩笑,我是認真的。我從事這個行業已經很長時間了。我對荷蘭人最終要說的話的預感是正確的。這就是我們(聽不清)三月的原因。我也對今年剩餘時間和新規則將會發生的事情有一種預感。我的直覺是基於我們所聽到的,我們的理解不會產生實質性影響。但話雖如此,我們並不確切知道這些新規定的內容是什麼。但我們只需要等待。我認為日本隊出來了,荷蘭隊也出來了。我想美國政府可能很快就會出來。然後我們就能確定我的預感或直覺是否正確。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • All right. We have time for one last question. If you are unable to get through on this call and still have questions, please feel free to contact the ASML Investor Relations department with your question. Now operator, may we have the last caller, please?

    好的。我們還有時間回答最後一個問題。如果您無法接通本次電話會議但仍有疑問,請隨時聯繫 ASML 投資者關係部門提出您的問題。現在接線員,請接聽最後一位來電者嗎?

  • Operator

    Operator

  • We will now take your last question for today. And the question comes from Tammy Qiu from Berenberg.

    我們現在將回答您今天的最後一個問題。這個問題來自貝倫貝格的 Tammy Qiu。

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • Firstly, Peter, relating to your China exposure, do you have any format of customer concentration, i.e., does one or few customer accounting for more than, let's say, 50% of the demand from China at all?

    首先,Peter,關於您在中國的業務,您是否有任何形式的客戶集中度,即是否有一個或少數客戶佔中國需求的 50% 以上?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • No. I think it's the -- the number of customers in China is significantly higher than -- and I just talked about the spread of the customer, significantly higher than anywhere on the planet. It has to do with the fact that it also goes back to where Chinese industry, I don't talk about the semiconductor industry, but the industry in general is actually growing. It grows in those areas which are covered by the big megatrends. And that means that specific requirements for semiconductors to support those trends actually ask for very significant and different applications that put the demand on this wide range of mid-critical to mature semiconductors. And there's a lot. And that also means that you see customers -- semiconductor customers now focusing on certain of those areas. It means you have many, many customers, yes? And that's -- so it's pretty widespread, whether it's memory, whether it's logic or foundry, it's almost everything, but many of them. And they're very much focused on specific parts of the industry. So yes, it's on the contrary. I mean it's not specifically focused on 1 or 2 customers. It's a broad based.

    不,我認為這是——中國的客戶數量明顯高於——我剛才談到了客戶的分佈,明顯高於地球上任何地方。這與它也可以追溯到中國工業的事實有關,我不談論半導體行業,但整個行業實際上正在增​​長。它生長在大趨勢所覆蓋的領域。這意味著支持這些趨勢的半導體特定要求實際上需要非常重要且不同的應用,這些應用對各種中關鍵到成熟的半導體提出了需求。而且有很多。這也意味著你會看到客戶——半導體客戶現在專注於其中的某些領域。這意味著你有很多很多客戶,是嗎?那就是——所以它相當廣泛,無論是內存,無論是邏輯還是鑄造,它幾乎是一切,但其中很多。他們非常關注行業的特定部分。所以是的,事實恰恰相反。我的意思是它並不是專門針對 1 或 2 個客戶。這是一個基礎廣泛的。

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • Okay. And also, you mentioned that you can actually ship the mid-critical machines to China and still basically allow them to do whatever they want to. So let's say, the mainstream you are shipping to China from an immersion perspective in 1980. If you can only ship something like 1970 or older machine, do you think that can allow them to do what they want to do?

    好的。而且,你提到你實際上可以將中關鍵機器運送到中國,並且基本上仍然允許他們做任何他們想做的事。這麼說吧,你從1980年的沉浸式角度運送到中國的主流。如果你只能運送像1970年或更舊的機器那樣的東西,你認為這可以讓他們做他們想做的事嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes. You have to realize that when you ship an immersion tool and just do the math, which is the wavelength of the light over the numerical aperture of the lens. That's 193 over 1.33, yes, (inaudible) factor, which is the process factor, which is an absolute minimum of 0.26 because beyond that, you don't have any contrast. So if you do the math, do it on your calculator, you come to 38-nanometer. So whether it's in 1970 or 1980 or 2000 or 2100, it's 38-nanometer. So how do you get smaller sizes. That is where you start using double patterning, and that's basically determined by your capabilities of materials, which is deposition and etch. So It's, of course, the most advanced have one determining factor in that, it's the precision with which the tool works.

    是的。您必須意識到,當您運送浸入式工具並進行數學計算時,即光的波長除以鏡頭的數值孔徑。這是 193 比 1.33,是的,(聽不清)因子,即過程因子,絕對最小值為 0.26,因為除此之外,就沒有任何對比度。因此,如果您用計算器算一下,就會得出 38 納米。所以無論是1970年還是1980年還是2000年還是2100年,都是38納米。那麼如何獲得更小的尺寸。這就是您開始使用雙重圖案的地方,這基本上取決於您的材料能力,即沉積和蝕刻。當然,最先進的技術有一個決定因素,那就是該工具的工作精度。

  • And this is where, if you look at the Dutch regulation, it doesn't mention a [tight] name. It just mentions a technical specification, which focuses on the precision with which the tool works. That's where the cutoff point is. But in terms of feature size, it's the same. But it's really the precision with which you can position the feature size on the wafer. That's where the cutoff point is. And that's determined in the regulation. So it's all deposition and etch.

    如果你看看荷蘭的法規,就會發現它沒有提到[嚴格]名稱。它只是提到了技術規格,重點關注該工具的工作精度。這就是分界點。但就特徵尺寸而言,是相同的。但這實際上是在晶圓上定位特徵尺寸的精度。這就是分界點。這是法規中確定的。所以這都是沉積和蝕刻。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • All right. Now on behalf of ASML, I'd like to thank you all for joining us today. Operator, if you could formally conclude the call, I'd appreciate it. Thank you.

    好的。現在,我謹代表 ASML,感謝大家今天加入我們。接線員,如果您能正式結束通話,我將不勝感激。謝謝。

  • Operator

    Operator

  • Thank you. This concludes the ASML 2023 Second Quarter Financial Results Conference Call. Thank you for participating. You may now disconnect.

    謝謝。 ASML 2023 年第二季度財務業績電話會議至此結束。感謝您的參與。您現在可以斷開連接。