科林研發 (LRCX) 2023 Q4 法說會逐字稿

內容摘要

Lam Research 公佈了 2023 年 6 月季度的強勁財務業績,毛利率、營業利潤率和每股收益均超出預期。儘管晶圓製造設備支出環境疲弱,但該公司的 CSBG 部門表現良好。

Lam 預計,在中國國內支出和高帶寬內存需求的推動下,2023 年 WFE 支出將在 700 億美元左右。他們正在投資用於原子級處理的產品組合,並在芯片間間隙填充應用和芯片到晶圓混合鍵合方案方面取得了關鍵勝利。

Lam 的戰略重點是實現向 3D 架構的過渡、擴大其地理覆蓋範圍以及管理半導體行業的可持續發展影響。他們最近宣布了 Semiverse 解決方案組合,以加速流程開發和協作。

Lam 的目標是通過結合物理和虛擬能力,在價值 1 萬億美元的半導體行業中脫穎而出。

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Good day, everyone, and welcome to the Lam Research Corporation's June 2023 Quarterly Financial Conference Call.

    大家好,歡迎參加泛林研究公司 2023 年 6 月季度財務電話會議。

  • (Operator Instructions)

    (操作員說明)

  • Please also note today's event is being recorded. At this time, I'd like to turn the floor over to Tina Correia. Ma'am, please go ahead.

    另請注意今天的活動正在錄製中。這次,我想把發言權交給蒂娜·科雷亞 (Tina Correia)。女士,請繼續。

  • Christina C. Correia - CAO, VP of Corporate Finance & IR

    Christina C. Correia - CAO, VP of Corporate Finance & IR

  • Thank you, and good afternoon, everyone. Welcome to the Lam Research quarterly earnings conference call. With me today are Tim Archer, President and Chief Executive Officer; and Doug Bettinger, Executive Vice President and Chief Financial Officer.

    謝謝大家,大家下午好。歡迎參加泛林研究季度收益電話會議。今天與我在一起的有總裁兼首席執行官蒂姆·阿徹 (Tim Archer);執行副總裁兼首席財務官 Doug Bettinger。

  • During today's call, we will share our overview on the business environment, and we'll review our financial results for the June 2023 quarter and our outlook for the September 2023 quarter. The press release detailing our financial results was distributed a little after 1:00 p.m. Pacific Time this afternoon. The release can also be found on the Investor Relations section of the company's website, along with the presentation slides that accompany today's call. Today's presentation and Q&A include forward-looking statements that are subject to risks and uncertainties reflected in the Risk Factors disclosed in our SEC public filings. Please see accompanying slides in the presentation for additional information.

    在今天的電話會議中,我們將分享對商業環境的概述,並將回顧 2023 年 6 月季度的財務業績和 2023 年 9 月季度的前景。詳細介紹我們財務業績的新聞稿於下午 1:00 後發布。太平洋時間今天下午。該新聞稿以及今天電話會議附帶的演示幻燈片也可以在該公司網站的投資者關係部分找到。今天的演示和問答包括前瞻性陳述,這些陳述受到我們在 SEC 公開文件中披露的風險因素中反映的風險和不確定性的影響。請參閱演示文稿中隨附的幻燈片以了解更多信息。

  • Today's discussion of our financial results will be presented on a non-GAAP financial basis, unless otherwise specified. A detailed reconciliation between GAAP and non-GAAP results can be found in the accompanying slides in the presentation. This call is scheduled to last until 3:00 p.m. Pacific Time. A replay of this call will be made available later this afternoon on our website.

    除非另有說明,今天對我們財務業績的討論將在非公認會計準則財務基礎上進行。 GAAP 和非 GAAP 結果之間的詳細調節可以在演示文稿中的隨附幻燈片中找到。該電話預計持續至下午 3:00。太平洋時間。今天下午晚些時候,我們的網站將提供此次電話會議的重播。

  • And with that, I'll hand the call over to Tim.

    然後,我會將電話轉交給蒂姆。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Thank you, Tina, and welcome to everyone joining the call today. Lam posted strong results for the June quarter, with gross margin, operating margin and earnings per share well above the guided ranges. CSBG at 47% of revenues in the quarter, continues to stand out as a key area of strength and stability for Lam and otherwise weak wafer fabrication equipment spending environment.

    謝謝蒂娜,歡迎大家今天加入電話會議。 Lam 公佈了六月季度的強勁業績,毛利率、營業利潤率和每股收益均遠高於指導範圍。 CSBG 佔本季度收入的 47%,在 Lam 和其他疲弱的晶圓製造設備支出環境中,繼續作為實力和穩定的關鍵領域脫穎而出。

  • Operationally, we achieved significant improvement in on-time delivery and critical back order performance in the quarter and now see these metrics back at normalized pre-pandemic levels. Our focus on the resiliency of our global manufacturing and supply chain network is delivering greater predictability in the short term, but more importantly, positions us to scale more efficiently when WFE growth inevitably resumes.

    在運營方面,我們在本季度的按時交貨和關鍵延期交貨績效方面取得了顯著改善,現在看到這些指標回到了大流行前的正常水平。我們對全球製造和供應鍊網絡彈性的關注在短期內提供了更大的可預測性,但更重要的是,這使我們能夠在 WFE 不可避免地恢復增長時更有效地擴大規模。

  • Looking near term, we see WFE spending in 2023, tracking to mid-$70 billion range, with the upside coming from domestic China related spending, as well as strong growth in high-bandwidth memory or HBM related demand. By device segments, we expect overall memory WFE to be down in the mid-40% range compared to last year and non-memory segments to be down approximately 10%. We continue to see second half 2023 WFE tracking higher than first half. While 2023 is a down year for WFE, the long-term growth dynamics for the semiconductor industry are strong.

    短期來看,我們預計 2023 年 WFE 支出將達到 700 億美元左右,增長動力來自中國國內相關支出,以及高帶寬內存或 HBM 相關需求的強勁增長。按設備細分市場來看,我們預計整體內存 WFE 與去年相比將下降 40% 左右,非內存細分市場將下降約 10%。我們預計 2023 年下半年 WFE 將繼續高於上半年。雖然 2023 年對 WFE 來說是下滑的一年,但半導體行業的長期增長動力強勁。

  • Emerging growth drivers such as generative AI are only in their initial stages of adoption and will be fundamental to driving increased investment in both memory and foundry logic fabs over the next several years. Advanced AI servers have significantly higher leading-edge logic, memory and storage content versus traditional servers, and every incremental 1% penetration of AI servers and data centers is expected to drive $1 billion to $1.5 billion of additional WFE investment.

    生成式人工智能等新興增長動力僅處於採用的初始階段,對於未來幾年推動存儲器和代工邏輯工廠投資增加至關重要。與傳統服務器相比,先進的人工智能服務器具有明顯更高的前沿邏輯、內存和存儲內容,人工智能服務器和數據中心的滲透率每增加 1%,預計將帶動 10 億至 15 億美元的 WFE 額外投資。

  • This creates tremendous opportunity for Lam as greater etch and deposition intensity is needed to enable higher performance and more scalable device architectures. To ensure we are best positioned to win long term, we have been making significant investments to broaden our product portfolio for processing at the atomic scale. Lam is the established leader in 3D NAND and we are well positioned to benefit from the move underway to 3D in other device segments. At all around 3D DRAM and advanced packaging are important 3D inflections that are expected to drive strong SAM and share growth for Lam.

    這為 Lam 創造了巨大的機會,因為需要更大的蝕刻和沈積強度來實現更高的性能和更具可擴展性的設備架構。為了確保我們能夠最好地贏得長期勝利,我們一直在進行大量投資,以擴大我們的原子級加工產品組合。 Lam 是 3D NAND 領域公認的領導者,我們處於有利位置,可以從其他設備領域正在進行的 3D 轉型中受益。總的來說,3D DRAM 和先進封裝是重要的 3D 變化,預計將推動 Lam 強勁的 SAM 和份額增長。

  • Advanced packaging is becoming vital to the performance, power and cost road maps of high-performance applications, including generative AI. Customers are increasingly adopting a wide variety of packaging schemes to enable logic and memory integration. Some of these schemes enable up to 50% improved memory density, 10x improvement in bandwidth and 60% gain in power efficiency. Lam has a track record of excellence in the advanced packaging segment with a strong set of manufacturing proven products. Overall, we have greater than 50% market share in deposition and etch solutions required for advanced 3D stacking of high-bandwidth memory.

    先進封裝對於高性能應用(包括生成式人工智能)的性能、功耗和成本路線圖變得至關重要。客戶越來越多地採用各種封裝方案來實現邏輯和存儲器集成。其中一些方案可將內存密度提高高達 50%,將帶寬提高 10 倍,並將功率效率提高 60%。 Lam 在先進封裝領域擁有卓越的業績記錄,擁有一系列經過製造驗證的強大產品。總體而言,我們在高帶寬存儲器高級 3D 堆疊所需的沉積和蝕刻解決方案方面擁有超過 50% 的市場份額。

  • More specifically, our SABRE 3D copper electroplating tool and Syndion etch system hold 100% market share across all leading memory customers or through silicon via formation as a result of our long-established expertise in etching and filling high aspect ratio of geometry structures. Overall, we expect our packaging SAM to double in the next 5 years. We also see our market position strengthening as we bring technology and productivity innovation to address emerging opportunities.

    更具體地說,由於我們在蝕刻和填充高深寬比幾何結構方面長期積累的專業知識,我們的 SABRE 3D 銅電鍍工具和 Syndion 蝕刻系統在所有領先的存儲器客戶或通過矽通孔形成中佔有 100% 的市場份額。總體而言,我們預計我們的包裝 SAM 在未來 5 年內將翻一番。隨著我們帶來技術和生產力創新來抓住新機遇,我們的市場地位也得到了加強。

  • For example, in the June quarter, we had a critical win for a new inter-die gapfill application at a key foundry logic customer for their chiplet architecture. As this latest win ramps into production we will have secured a leading share position for this application across the top 3 foundry logic customers. We won this application for a couple of reasons. First, we delivered higher productivity relative to the competition by leveraging our unique triple quad platform architecture and multi-station sequential deposition chamber design. This enables film deposition of greater than 20 microns in a single pass, allowing the customer to run more wafers between chamber clean steps.

    例如,在六月季度,我們在一家主要代工邏輯客戶的小芯片架構中獲得了新的芯片間間隙填充應用的關鍵勝利。隨著這一最新勝利投入生產,我們將在該應用程序中在三大代工邏輯客戶中獲得領先的市場份額。我們贏得這個申請有幾個原因。首先,通過利用我們獨特的三重四極桿平台架構和多站順序沉積室設計,我們提供了相對於競爭對手更高的生產率。這使得單次沉積的薄膜厚度超過 20 微米,從而使客戶能夠在腔室清潔步驟之間運行更多的晶圓。

  • Second, we delivered superior on-wafer performance including better film stress management, improved defectivity and enhance wafer-to-wafer uniformity versus the competition. As we expand our position in newer high-growth markets like 3D packaging and specialty technologies, we have looked to leverage existing R&D and tools within the Lam portfolio to broaden our product offerings most efficiently.

    其次,與競爭對手相比,我們提供了卓越的晶圓性能,包括更好的薄膜應力管理、改善的缺陷率以及增強晶圓間的均勻性。隨著我們擴大在 3D 封裝和專業技術等新興高增長市場的地位,我們希望利用 Lam 產品組合中的現有研發和工具來最有效地擴大我們的產品範圍。

  • In the recent example, our long-established Kiyo etch platform has proven to be highly suited to applications, which are critical to enabling new die-to-wafer hybrid bonding schemes. By delivering better etch profile than the competition, we secured a key win in the June quarter at a leading foundry logic customer. We are currently the tool of record for a suite of etches and resist strip steps at this customer and expect to start recognizing revenue for the new application in 2023. As this customer continues to shrink packaging dimensions with future hybrid bonding iterations, we have the opportunity to double our revenue with them over the next several years.

    在最近的示例中,我們歷史悠久的 Kiyo 蝕刻平台已被證明非常適合應用,這對於實現新的芯片到晶圓混合鍵合方案至關重要。通過提供比競爭對手更好的蝕刻輪廓,我們在六月季度贏得了一家領先的代工邏輯客戶的關鍵勝利。目前,我們是該客戶的一套蝕刻和抗蝕劑剝離步驟的記錄工具,預計在 2023 年開始確認新應用的收入。隨著該客戶通過未來的混合鍵合迭代繼續縮小封裝尺寸,我們有機會在接下來的幾年裡,我們與他們的收入將增加一倍。

  • In another instance, Lam has been working with customers within the specialty segment to support key 300-millimeter etch solutions, including atomic layer etch to 200-millimeter to overcome challenges in the manufacturing of gallium nitride devices. Adoption of GaN technology is accelerating across multiple applications, ranging from high-efficiency charging devices for consumer electronics and automotive, to 5G RF infrastructure. However, the fabrication of such devices is complex and requires ultra-low damage etch processes with atomic scale precision.

    在另一個例子中,Lam 一直在與專業領域的客戶合作,支持關鍵的 300 毫米蝕刻解決方案,包括原子層蝕刻至 200 毫米,以克服氮化鎵器件製造中的挑戰。 GaN 技術在多種應用中的採用正在加速,從消費電子產品和汽車的高效充電設備到 5G 射頻基礎設施。然而,此類器件的製造非常複雜,並且需要具有原子級精度的超低損傷蝕刻工藝。

  • With our suite of solutions, we can deliver a GaN etch process that improve surface roughness and other material properties that impact device performance. These solutions were developed as a result of Lam's deep understanding of the required technology as well as key partnerships with customers and research institutions. Longer term, we look to outperform in the $1 trillion semiconductor industry forecasted for the end of the decade. At Lam, we are executing a strategy to create competitive differentiation by focusing on what we see as 3 key vectors of rising complexity. First is the technical complexity associated with enabling the transition to 3D device and packaging architectures across all market segments. Second is the support and workforce complexity arising from the expanding geographic footprint as regional efforts build momentum. And third is the sustainability complexity as we responsibly manage the carbon impact of the semiconductor industry as the output grows to $1 trillion.

    借助我們的解決方案套件,我們可以提供 GaN 蝕刻工藝,改善表面粗糙度和影響器件性能的其他材料特性。這些解決方案的開發是 Lam 對所需技術的深刻理解以及與客戶和研究機構的重要合作關係的結果。從長遠來看,我們預計半導體行業的表現將在本十年末達到 1 萬億美元。在 Lam,我們正在執行一項戰略,通過關注我們認為複雜性不斷上升的 3 個關鍵向量來創造競爭差異化。首先是與跨所有細分市場向 3D 設備和封裝架構過渡相關的技術複雜性。其次是隨著區域努力的勢頭不斷擴大,地理足跡不斷擴大而產生的支持和勞動力複雜性。第三是可持續性的複雜性,因為隨著產量增長到 1 萬億美元,我們負責任地管理半導體行業的碳影響。

  • This quarter, we detailed 1 component of our strategy in a press release for our Semiverse solutions portfolio of advanced simulation and modeling products. These products are designed to help engineers get to process solutions faster, develop new products at lower cost and collaborate across the global ecosystem with greater effectiveness. We believe that by combining the physical advantages of Lam's diverse global R&D and manufacturing footprint with the virtual development and digital twin capabilities of our Semiverse solutions, we will be in an excellent position to innovate and outperform as our industry grows into the future.

    本季度,我們在高級仿真和建模產品的 Semiverse 解決方案組合的新聞稿中詳細介紹了我們戰略的一個組成部分。這些產品旨在幫助工程師更快地獲得流程解決方案,以更低的成本開發新產品,並在全球生態系統中更高效地進行協作。我們相信,通過將 Lam 多元化的全球研發和製造足蹟的物理優勢與我們 Semiverse 解決方案的虛擬開發和數字孿生能力相結合,隨著我們行業的未來發展,我們將處於創新和超越的有利地位。

  • With that, I will turn it over to Doug. Thank you.

    這樣,我就把它交給道格。謝謝。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Excellent. Thank you, Tim. Good afternoon, everyone, and thank you for joining our call today during what I know is a very busy earnings season. We executed well in the June 2023 quarter with revenue coming in above the midpoint of our guided range, and our profitability metrics exceeding the high end of guidance. While we continue to work through a challenging WFE investment here, most notably with very low memory spending, our focus on improving our operational efficiencies is showing up favorably in our results. We're laser-focused on what's in our direct control and executing well.

    出色的。謝謝你,蒂姆。大家下午好,感謝您今天在我知道財報季非常繁忙的情況下參加我們的電話會議。我們在 2023 年 6 月季度的表現良好,收入高於指導範圍的中點,盈利指標也超過了指導的上限。雖然我們繼續在這裡進行具有挑戰性的 WFE 投資,尤其是內存支出非常低,但我們對提高運營效率的關注在我們的結果中得到了積極的體現。我們專注於我們直接控制和執行良好的事情。

  • Let me dig into revenue. June quarter revenue came in at $3.2 billion, a decrease from the prior quarter as we expected. Systems revenue declined quarter-over-quarter as we had reduced levels of deferred revenue with the improvement in supply chain constraints. We closed the June quarter with $1.8 billion in deferred revenue on the balance sheet, which was a decreased $165 million sequentially. We've got all the deferred revenue related to outstanding backorder parts completely back to normal levels. As we discussed last quarter, though, our deferred revenue balance is currently still at higher than historic levels. The deferred revenue balance includes increased customer cash and advanced deposits tied to orders from newer customers. We expect a significant portion of these deposits to convert to revenue during the second half of calendar year 2023.

    讓我深入研究一下收入。 6 月份季度收入為 32 億美元,比我們預期的上一季度有所下降。系統收入環比下降,因為隨著供應鏈限制的改善,我們減少了遞延收入水平。我們在 6 月份季度結束時,資產負債表上的遞延收入為 18 億美元,比上一季度減少了 1.65 億美元。我們已將與未完成的延期交貨零件相關的所有遞延收入完全恢復到正常水平。不過,正如我們上季度討論的那樣,我們的遞延收入餘額目前仍高於歷史水平。遞延收入餘額包括增加的客戶現金和與新客戶訂單相關的預付款。我們預計這些存款的很大一部分將在 2023 年下半年轉化為收入。

  • Let me now turn to the revenue segment details. Memory as a percentage of systems revenue in the June quarter was low at 27%, which was a decline from the prior quarter level of 32% and our lowest concentration percentage for this segment in the last decade. The DRAM segment within memory remained flat at 9% of systems revenue and NAND came down to 18% of systems revenue down from the March quarter level of 23%.

    現在讓我談談收入部分的詳細信息。六月季度內存佔系統收入的百分比較低,為 27%,較上一季度 32% 的水平有所下降,也是過去十年來我們在該領域的最低集中度百分比。內存中的 DRAM 部分保持不變,佔系統收入的 9%,而 NAND 佔系統收入的比例則從 3 月份季度的 23% 下降至 18%。

  • We continue to expect NAND spending to remain at low levels for the remainder of the 2023 calendar year. And I just mentioned NAND spending is at the lowest levels we've seen since the advent of the 3D NAND architecture. Consistent with the prior quarter, we see strong concentration of systems revenue in the Foundry segment, with the June quarter revenue percentage at 47% versus 46% that we saw in the March quarter. Investments were biased towards leading-edge devices. There was also continues to be robust spending to support more mature specialty nodes. We had a record level of concentration in the Logic and Other segment with 26% of the systems revenue in the June quarter compared with 22% in the prior quarter. There was broad-based spending in areas such as advanced packaging, hard-core processors, analog, image sensors and power applications. These are areas where we've demonstrated strong momentum with our technology solutions, and I just point out that they are broadly distributed geographically.

    我們仍然預計 2023 年剩餘時間內 NAND 支出將保持在較低水平。我剛剛提到,NAND 支出處於自 3D NAND 架構出現以來的最低水平。與上一季度一致,我們看到系統收入高度集中在代工領域,6 月份季度的收入百分比為 47%,而 3 月份季度的收入百分比為 46%。投資偏向於尖端設備。還持續有強勁的支出來支持更成熟的專業節點。我們在邏輯和其他領域的集中度達到了創紀錄的水平,六月份季度佔系統收入的 26%,而上一季度為 22%。先進封裝、硬核處理器、模擬、圖像傳感器和電源應用等領域有廣泛的支出。在這些領域,我們的技術解決方案展現了強勁的勢頭,我只是指出,它們在地理上分佈廣泛。

  • With respect to the regional composition of our total revenue, the China region was 26%, which was up a little bit from the prior quarter, which was 22%. China domestic customers with the majority of the China regional revenue in the June quarter. The result of strong concentration of investments by our customers in the Korea and Taiwan region which comprised 24% and 20% of our total revenues, respectively, in the June quarter.

    從我們總收入的地區構成來看,中國地區為26%,比上一季度的22%略有上升。中國國內客戶佔第二季度中國地區收入的大部分。這是我們的客戶投資高度集中在韓國和台灣地區的結果,這兩個地區分別占我們第二季度總收入的 24% 和 20%。

  • The U.S. region declined from the record level that we saw last quarter largely due to the timing of customer projects. The customers for our business group revenue in the June quarter totaled approximately $1.5 billion, which was a decrease of 7% from the prior quarter level and 8% lower than the June quarter in calendar 2022. CSBG represented 47% of our June quarter revenue. This is a historically high concentration percentage driven largely by continued strength in the specialty node investments, which are serviced by our reliance systems business.

    美國地區的銷售額較上季度創紀錄的水平有所下降,這主要是由於客戶項目的時間安排所致。我們業務集團 6 月季度的客戶收入總計約為 15 億美元,比上一季度水平下降 7%,比 2022 年 6 月季度下降 8%。CSBG 占我們 6 月季度收入的 47%。這是歷史上較高的集中度百分比,這主要是由我們的信賴系統業務提供服務的專業節點投資的持續強勁推動的。

  • Our Reliant and spares businesses continue to represent the largest individual portions of CSBG revenues. Both our spares and service businesses have been negatively impacted a low fab utilization levels, particularly at our memory customers. On the profitability side of things, our June quarter gross margin came in at 45.7%, above our guided range and up from 44% that we saw in the March quarter. The quarter-on-quarter increase was related to cost and efficiency improvements as well as a favorable product mix. We continue to expect to make further progress on our operational execution as we go forward.

    我們的 Reliant 和備件業務仍然佔 CSBG 收入的最大部分。我們的備件和服務業務都受到了晶圓廠利用率低下的負面影響,尤其是我們的內存客戶。在盈利能力方面,我們 6 月份季度的毛利率為 45.7%,高於我們的指導範圍,高於 3 月份季度的 44%。環比增長與成本和效率的改善以及有利的產品組合有關。我們繼續期望在前進的過程中在運營執行方面取得進一步進展。

  • Operating expenses for June came in at $590 million, which was down from the $608 million in the March quarter, although it was a little bit higher than our original estimate coming into the quarter. Investing in research and development continues to be a top priority for Lam with over 2/3 of our operating expense concentrated in R&D. We're focused on extending our product differentiation and ensuring that our competitiveness remains very strong.

    6 月份的運營支出為 5.9 億美元,低於 3 月份季度的 6.08 億美元,儘管略高於我們對該季度的最初估計。投資研發仍然是 Lam 的首要任務,我們超過 2/3 的運營費用集中在研發上。我們專注於擴大產品差異化並確保我們的競爭力保持強勁。

  • The June quarter operating margin came in at 27.3%, which was over the guidance range largely because of our strong gross margin performance. The non-GAAP tax rate for the quarter came in low at 7.5% due to a more favorable jurisdictional mix of income and provision true-ups that occurred at the end of our fiscal year. We estimate the tax rate for the remainder of the 2023 calendar year will be in the low to mid-teens level. And as always, this rate will have some fluctuation quarter-to-quarter.

    6 月份季度的營業利潤率為 27.3%,超出指導範圍主要是因為我們強勁的毛利率表現。由於我們財年末出現了更有利的收入和撥備調整的司法管轄區組合,本季度的非公認會計原則稅率較低,為 7.5%。我們估計 2023 年剩餘時間的稅率將處於低至中雙位數的水平。與往常一樣,這個比率每個季度都會有一些波動。

  • Other income and expense for the June quarter was approximately $7 million in expense, consistent with the prior quarter amount and primarily related to our strong cash balances as well as higher interest rates. And I'd just point out, from a return on cash standpoint, we're now realizing higher interest rates on our cash balances than we're paying on the longer-term duration debt in our capital structure.

    六月季度的其他收入和支出約為 700 萬美元,與上一季度的金額一致,主要與我們強勁的現金餘額以及較高的利率有關。我只想指出,從現金回報的角度來看,我們現在實現的現金餘額利率高於我們資本結構中長期債務的利率。

  • And as we've discussed in the past, OI&E is subject to market-related fluctuations that will cause some level of quarterly volatility. From a capital return activities, we allocated approximately $906 million to open market share buyback and we paid $232 million in dividends in the June quarter. We have $3.5 billion remaining on our Board-authorized share buyback plan. For the June quarter, we returned 109% of free cash flow, and we're very much in line with our long-term capital return plans of returning 75% to 100% of free cash flow.

    正如我們過去所討論的,OI&E 會受到市場相關波動的影響,從而導致一定程度的季度波動。通過資本返還活動,我們分配了約 9.06 億美元用於公開市場股票回購,並在 6 月份季度支付了 2.32 億美元的股息。董事會授權的股票回購計劃還剩 35 億美元。 6 月份季度,我們返還了 109% 的自由現金流,這非常符合我們返還 75% 至 100% 自由現金流的長期資本返還計劃。

  • June quarter diluted earnings per share came in at $5.98 over the high end of our guidance range. This was enabled from stronger revenue, improved gross margin and net lower tax rate. Diluted share count was 134 million shares on track with our expectations and down from the March quarter.

    6 月份季度稀釋後每股收益為 5.98 美元,超出了我們指導範圍的上限。這是由於收入增加、毛利率提高和淨稅率降低而實現的。稀釋後的股票數量為 1.34 億股,符合我們的預期,比 3 月份季度有所下降。

  • Let me now come to the balance sheet. Cash and short-term investments, including restricted cash, totaled $5.6 billion, which was flat with the March quarter. The sales outstanding were 80 days in the June quarter. June quarter inventory turns came down from the prior quarter level of 1.9x to 1.5x. While we did bring inventory down slightly during the June quarter, the balance is elevated from historic levels.

    現在讓我來談談資產負債表。現金和短期投資(包括限制性現金)總計 56 億美元,與 3 月份季度持平。六月季度的應收賬款為 80 天。 6 月份季度庫存周轉率從上一季度的 1.9 倍下降至 1.5 倍。雖然我們確實在六月季度略微降低了庫存,但庫存餘額仍高於歷史水平。

  • As business volumes reduce, we need to cancel a significant volume of purchase orders with our suppliers. As we work through these cancellations, we're taking more inventory than we need in the near term. This ongoing process will keep inventory higher than we'd like this year, frankly. We do expect inventory to come down, though, albeit more slowly than we may have historically been able to drive it to.

    隨著業務量減少,我們需要取消與供應商的大量採購訂單。當我們處理這些取消訂單時,我們的庫存量超出了近期的需要。坦率地說,這一持續的過程將使今年的庫存高於我們的預期。不過,我們確實預計庫存會下降,儘管速度比我們歷史上能夠下降的速度要慢。

  • Noncash expenses for the June quarter included approximately $68 million in equity compensation, $76 million in depreciation and $14 million for amortization. Capital expenditures were $79 million, which was down from the March quarter by approximately $41 million. Spending mainly centered on product development activities and our global lab infrastructure. We ended the June quarter with approximately 17,400 regular full-time employees, which was a decrease of approximately 1,300 people from the prior quarter. Most of this decrease is related to the restructuring actions we took earlier in the calendar year with the timing of the headcount reduction showing up in the June quarter.

    六月季度的非現金費用包括約 6800 萬美元的股權補償、7600 萬美元的折舊和 1400 萬美元的攤銷。資本支出為 7900 萬美元,比 3 月份季度減少約 4100 萬美元。支出主要集中在產品開發活動和我們的全球實驗室基礎設施上。截至 6 月季度末,我們擁有約 17,400 名正式全職員工,比上一季度減少約 1,300 人。這一減少大部分與我們在今年早些時候採取的重組行動有關,裁員時間出現在六月季度。

  • Overall, we're on track with our transformation initiatives, to improve our operations. And as we stand at the end of the quarter, we incurred approximately $143 million year-to-date out of an anticipated $250 million estimated range for calendar year 2023.

    總體而言,我們正在實施轉型舉措,以改善我們的運營。截至本季度末,我們今年迄今的支出約為 1.43 億美元,而 2023 日曆年的預計支出為 2.5 億美元。

  • Let me now turn to our non-GAAP guidance for the September '23 quarters. We're expecting revenue of $3.4 billion, plus or minus $300 million. Gross margin of 46.5%, plus or minus 1 percentage point. This improvement in gross margin is a function of our operational efforts as well as a beneficial mix. Operating margins of 28%, plus or minus 1 percentage point. We're purposely spending a little more in R&D in the second half of 2023.

    現在讓我談談 23 年 9 月季度的非 GAAP 指導。我們預計收入為 34 億美元,上下浮動 3 億美元。毛利率46.5%,正負1個百分點。毛利率的提高是我們運營努力以及有益組合的結果。營業利潤率為 28%,上下浮動 1 個百分點。我們有意在 2023 年下半年增加一點研發支出。

  • And finally, earnings per share of $6.05, plus or minus $0.75 based on a declining share count of approximately 133 million shares. So let me summarize. The results this quarter demonstrate, I think, that we're on a solid path to strengthen our operations and our profitability profile. We're improving and optimizing all elements of the company, and solidifying Lam's business foundation to ensure we're well positioned for outperformance when WFE growth resumes. And I'll just reiterate what Tim said, we continue to see WFE a little bit second half weighted this year.

    最後,每股收益為 6.05 美元,根據約 1.33 億股的減少股數計算,正負 0.75 美元。那麼讓我總結一下。我認為,本季度的業績表明,我們正在走上一條堅實的道路,以加強我們的運營和盈利能力。我們正在改進和優化公司的所有要素,並鞏固 Lam 的業務基礎,以確保我們在 WFE 恢復增長時處於領先地位。我只是重申蒂姆所說的話,我們繼續看到今年下半年 WFE 的比重有所增加。

  • Operator with that, that concludes my prepared remarks. Tim and I would now like to open up the call for questions.

    話務員到此結束我準備好的發言。蒂姆和我現在想開始提問。

  • Operator

    Operator

  • (Operator Instructions)

    (操作員說明)

  • Our first question today comes from Harlan Sur from JPMorgan.

    我們今天的第一個問題來自摩根大通的 Harlan Sur。

  • Harlan L. Sur - Executive Director and Head of U.S. Semiconductor & Semiconductor Capital Equipment

    Harlan L. Sur - Executive Director and Head of U.S. Semiconductor & Semiconductor Capital Equipment

  • Congratulations on solid execution. As we progress through this weaker period for the industry, right? The activity level can be quite noisy. But there will come a period of stabilization of fundamentals and business trends, right? Fundamentals being the things that you can track like your customers' utilization and business-wise, the level of pushouts reschedules and cancellations. So I guess with that, has the team seen utilizations starting to stabilize, albeit at low levels? And has the rate magnitude of shipment pushouts rescheduling also come down to more normalized levels? .

    祝賀您紮實的執行力。隨著我們度過這個行業的疲軟時期,對吧?活動水平可能相當嘈雜。但基本面和商業趨勢將會穩定一段時間,對嗎?基本面是您可以跟踪的事情,例如客戶的利用率和業務方面、推出、重新安排和取消的水平。所以我想,團隊是否看到利用率開始穩定,儘管水平較低?發貨推遲重新安排的速度幅度是否也下降到更加正常化的水平? 。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • I think that we've -- it probably varies by market segment. But clearly, we've heard some of our customers even just recently talking about more utilization cuts within certain device segments. Clearly, I would say it's slowing down as the majority of the cuts that were made in the first half of the year kind of taking effect. I do feel like -- and I made a comment about, in some ways, normalizing our operations is allowing the business to be more predictable. I think the same thing is happening on the end markets and customer side as well, where people are getting a sense of how the cuts that have already been made and how the CapEx reductions that have already been made are starting to flow through. So I do feel like we're in that position.

    我認為我們可能會因細分市場的不同而有所不同。但顯然,我們最近就听到一些客戶談論在某些設備領域進一步削減利用率。顯然,我想說,隨著今年上半年實施的大部分削減措施開始生效,這種速度正在放緩。我確實覺得——我曾評論過,在某些方面,我們的運營正常化可以讓業務變得更加可預測。我認為同樣的事情也發生在終端市場和客戶方面,人們正在了解已經進行的削減以及已經進行的資本支出削減是如何開始實施的。所以我確實覺得我們處於這個位置。

  • And just as we, at this point, ticked a little bit up on WFE from our prior guidance of low to mid-70s to mid-70s. Yes, you're starting to see a little strength in certain parts of the market, HVM being one of them. And as also we mentioned some of the domestic China spending. So I would feel like things are getting to the point where people are able to predict their business a little bit better than, say, 6 months ago.

    正如我們此時將 WFE 的預測值從之前 70 年代中期到 70 年代中期的指導值稍稍上調了一些。是的,您開始看到市場某些部分的實力,HVM 就是其中之一。我們還提到了中國國內的一些支出。因此,我覺得事情已經發展到人們能夠比 6 個月前更好地預測他們的業務的程度。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • And Harlan, this is Doug. Maybe the only thing I would add to what Tim said is we haven't really seen utilizations getting any better, quite frankly. You'll remember on the call last quarter, we talked about, you'll see that from us in our spares and service components of CSBG. And as we sit here today, you're not seeing that at this point. So it's at a fairly low level, and we really don't see it getting any better in the near term. .

    哈倫,這是道格。也許我要補充蒂姆所說的唯一一點是,坦率地說,我們還沒有真正看到利用率得到任何改善。您會記得在上個季度的電話會議上,我們談到過,您將從我們的 CSBG 備件和服務組件中看到這一點。當我們今天坐在這裡時,你現在還看不到這一點。所以它處於相當低的水平,我們確實認為它在短期內不會有任何改善。 。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • And the only other thing that, we have said that when you think about especially utilization improvements in the memory space, we would anticipate Lam being the first to sort of benefit from utilization earning back on. Just given our exposure in that space, and the impact that it had on our spares and service business through the first half of this year. .

    唯一的另一件事是,我們已經說過,當您特別考慮內存空間利用率的提高時,我們預計 Lam 是第一個從利用率收益中受益的人。考慮到我們在該領域的曝光度,以及今年上半年它對我們的備件和服務業務的影響。 。

  • Harlan L. Sur - Executive Director and Head of U.S. Semiconductor & Semiconductor Capital Equipment

    Harlan L. Sur - Executive Director and Head of U.S. Semiconductor & Semiconductor Capital Equipment

  • I appreciate that. And then I also appreciate you guys highlighting the advanced packaging opportunity. I think it's quite timely, right, because you guys called out slightly better memory WFE trends due to strong HBM, DRAM demand. So you guys benefit both in your core memory silicon tools and now your advanced packaging portfolio as you outlined, right? But just given the overall sort of recent and strong demand pool for accelerated compute and AI capabilities, I mean, this is driving advanced packaging demand across CPU, GPU, networking, memory, right? And so your customers are capacity constrained here. So do you guys anticipate your advanced packaging segment to grow this year? And can you just give us some sense on how big this segment is for Lam?

    我很感激。然後我也感謝你們強調先進封裝的機會。我認為這是非常及時的,對吧,因為你們指出由於 HBM、DRAM 需求強勁,內存 WFE 趨勢略有改善。因此,正如您所概述的那樣,你們既可以從核心內存芯片工具中受益,也可以從現在的先進封裝產品組合中受益,對嗎?但考慮到近期對加速計算和人工智能功能的強勁需求,我的意思是,這正在推動 CPU、GPU、網絡、內存的先進封裝需求,對嗎?因此,您的客戶在這裡的容量受到限制。那麼你們預計今年先進封裝領域會增長嗎?您能否讓我們了解一下 Lam 的這個細分市場有多大?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I don't think -- we haven't exactly sized this business externally, but we -- it is growing and as I said, in certain areas, especially as I highlighted, things like etch for TSVs, copper plating for filling of those TSVs, pretty much anything related to the to build that advanced packaging structure through etch and deposition. So it is a strong area of our business right now, especially given the relative weakness as seen in the other parts of the market. .

    是的。我不認為——我們還沒有在外部準確地確定這項業務的規模,但我們——它正在增長,正如我所說,在某些領域,特別是正如我所強調的,諸如 TSV 蝕刻、用於填充這些的鍍銅等TSV,幾乎所有與通過蝕刻和沈積構建先進封裝結構相關的東西。因此,這是我們目前業務的一個優勢領域,特別是考慮到市場其他部分的相對疲軟。 。

  • Operator

    Operator

  • Our next question comes from CJ Muse from Evercore.

    我們的下一個問題來自 Evercore 的 CJ Muse。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • I guess first question, Doug. Last quarter, you talked about expectations for 100 bps improvement, at least exiting the year. You've clearly blown that away. So curious how much of that in the guide for September is due to mix versus kind of greater efficiencies that you've garnered? And what is kind of the new exit rate that we should be thinking about for calendar '23?

    我想第一個問題,道格。上個季度,您談到了對 100 個基點改善的預期,至少在今年結束時是這樣。你顯然已經把它吹走了。很好奇 9 月份的指南中有多少是由於混合而不是您所獲得的更高效率?我們應該為 23 日曆考慮新的退出率是多少?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, C.J., actually, I think if you talk to the leadership team on Lam, we're all pretty pleased at where we've been able to drive the operational efficiency in the company. And it's gone quicker, I think, than I expected certainly. We're obviously beyond that 100 basis point improvement. Having said that, though, I would point to -- we do have a component of the September numbers that are mix related. I'm not going to quantify it precisely for you. But we're doing real well operationally. I'm not quite ready to guide December for you yet, except I'd say I think we're pretty pleased where we're at, and we're well beyond that 100 basis improvement we talked about, I think on January call, if I recall the first time we started talking about it.

    是的,C.J.,實際上,我認為如果你與 Lam 的領導團隊交談,我們都對我們能夠提高公司運營效率感到非常滿意。我想,它的進展速度肯定比我預期的要快。我們顯然已經超出了 100 個基點的改進。儘管如此,我還是想指出——我們確實有 9 月份數據中與混合相關的部分。我不會為你精確地量化它。但我們在運營方面做得非常好。我還沒有準備好為你指導 12 月,但我想說,我認為我們對目前的狀況感到非常滿意,而且我們遠遠超出了我們在 1 月電話會議上談到的 100 個基礎的改進,如果我記得我們第一次開始談論它的話。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • Great. I guess the second question relates to CSBG. And how are you thinking about sustainability of Reliant? And then as you kind of contemplate the timing of utilization picking up from memory, how do you see kind of a handoff maybe from 1 part of the business to the other and how we should be modeling CSBG into September and beyond?

    偉大的。我想第二個問題與CSBG有關。您如何看待 Reliant 的可持續發展?然後,當您考慮從記憶中恢復利用率的時間時,您如何看待從業務的一個部分到另一個部分的交接,以及我們應該如何在 9 月及以後對 CSBG 進行建模?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I think that, as you pointed out, I mean, obviously, there's a Reliant component that's right now is quite strong. I talked about specialty technologies. I mean, we're seeing still quite strong demand for our products across all of those different segments. Utilization driving spares and service to much lower levels than we've historically seen. And so I think as people talk and worry about roll-off in the specialist technologies, maybe that does come at the time that the utilization picks up in the leading edge in the memory fabs.

    是的。我認為,正如您所指出的,我的意思是,顯然,現在有一個 Reliant 組件非常強大。我談到了專業技術。我的意思是,我們看到所有這些不同領域對我們產品的需求仍然相當強勁。備件和服務的利用率遠低於歷史水平。因此,我認為,當人們談論並擔心專業技術的下滑時,也許這確實是在內存工廠的前沿利用率回升的時候發生的。

  • But actually, at this point, I would say we haven't yet seen demand for those trailing edge technologies to really be rolling over. I mean demand is still quite strong for us. We're focused on new applications, new tool types and it's just not something that we're ready to forecast yet. Although again, as we've said, nothing grows forever, but it -- with the broadening it feels pretty good to us right now.

    但實際上,在這一點上,我想說我們還沒有看到對這些前沿技術的需求真正回滾。我的意思是我們的需求仍然相當強勁。我們專注於新的應用程序、新的工具類型,但我們還沒有準備好預測這些。儘管正如我們所說,沒有什麼會永遠增長,但隨著業務範圍的擴大,我們現在感覺非常好。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • So we should be modeling CSBG up in September? .

    那麼我們應該在 9 月份對 CSBG 進行建模嗎? 。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • C.J., I guided you to $3.4 billion in revenue. You could do whatever you'd like to do with the competition of that. You've got the total number.

    C.J.,我引導您實現了 34 億美元的收入。你可以在競爭中做任何你想做的事。你已經得到了總數。

  • Operator

    Operator

  • Our next question comes from Krish Sankar from TD Cowen.

    我們的下一個問題來自 TD Cowen 的 Krish Sankar。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • I have 2 questions. First one, maybe for Tim or Doug, kind of curious at SEMICON West a few weeks ago, Tokyo Electron spoke about a cryo etch product. And I remember you have spoken about it a couple of years ago. So I'm kind of curious how to think about your high aspect ratio etch market share? And my understanding was a lot of it was like geared towards 3D NAND. And obviously, NAND spending is at a very low level. So I'm kind of curious: a, number one, how to think about your high asset ratio market share and the cryo etch product, et cetera, in that route? And then I have a follow-up.

    我有 2 個問題。第一個,也許對蒂姆或道格來說,幾週前在 SEMICON West 上有點好奇,東京電子談到了一種冷凍蝕刻產品。我記得你幾年前就談到過這個問題。所以我有點好奇如何看待你們的高深寬比蝕刻市場份額?我的理解是很多都是針對 3D NAND 的。顯然,NAND 支出處於非常低的水平。所以我有點好奇:第一,如何考慮您的高資產比率市場份額和低溫蝕刻產品等?然後我有一個後續行動。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Okay. Great. Well, thanks, Krish and I'll take it first. I think that relative to NAND, as you pointed out, I mean, it's -- spending is at very low levels, down more than -- probably more than 75% year-on-year right now. But to this point of Cryo etch, I mean, I guess I would just say that at this point, Lam is the only company with cryo etch in high-volume production. So it's an area where we do have strength. I mean, hundreds of chambers of experience right now. We're the leader in high aspect ratio etch. I know that at the recent conference, competition has been out talking about a DTOR position for 1 pass at a memory customer for a future node.

    好的。偉大的。好吧,謝謝,克里什和我先拿走。我認為,正如您所指出的,相對於 NAND,支出處於非常低的水平,目前同比下降可能超過 75%。但對於冷凍蝕刻這一點,我的意思是,我想我只想說,在這一點上,Lam 是唯一一家在大批量生產中進行冷凍蝕刻的公司。所以這是我們確實有實力的領域。我的意思是,現在有數百個經驗室。我們是高深寬比蝕刻領域的領導者。我知道在最近的會議上,關於未來節點內存客戶 1 次傳遞的 DTOR 位置的競爭已經展開。

  • But I think as probably everybody on this call knows customers regularly engage more than one vendor when you're in the R&D stage for all these critical applications. And in this case, production decisions are still quite a ways off. I look at Lam and our competitiveness. I mean we take all of that experience that we have from years of leading in this space. We've developed a robust technology road map. We're engaged with the customer on a variety of different hardware and process innovations.

    但我認為,參加這次電話會議的每個人都知道,當您處於所有這些關鍵應用程序的研發階段時,客戶經常會與多個供應商合作。在這種情況下,生產決策還有很長的路要走。我關注林和我們的競爭力。我的意思是,我們從多年來在這個領域的領先地位中汲取了所有經驗。我們已經制定了強大的技術路線圖。我們與客戶就各種不同的硬件和流程創新進行合作。

  • But I think most importantly, when I think about our long-term competitiveness, we are still the only vendor that has this huge installed base from which every day we're getting learning about how to take processes from the R&D stage into high-volume production. And that's ultimately what really counts for affecting market share. And we've said in the past, we don't win every decision, certainly not always at the DTOR stage. But I think given where we are and where we've come from, we're very confident about maintaining leadership and market share in this space.

    但我認為最重要的是,當我考慮我們的長期競爭力時,我們仍然是唯一擁有如此龐大安裝基礎的供應商,我們每天都在學習如何將流程從研發階段轉變為大批量生產生產。這才是最終影響市場份額的真正因素。我們過去說過,我們不會贏得每一個決定,尤其是在 DTOR 階段。但我認為,考慮到我們現在的處境以及我們的出身,我們對保持這一領域的領導地位和市場份額非常有信心。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • Very helpful, Tim. And then just a follow-up on the advanced packaging, I understand you did mention the packaging trend could double. And you spoke about the Syndion for TSV etch and SABRE 3D for metal depth. If I remember, when I went back, looked at my notes, in 2015, you said it would be like a $200 million to $300 million opportunity. And then I think last year, folks updated both in 2020, where you said Syndion and SABRE could actually doubled since then. I'm kind of curious, I understand a lot of it goes to HBM and all these things. So from that whole realm of advanced packaging, how do think about like the opportunity from here? And also for AI, my understanding is some of your ALD for high-K metal gate for DRAM can also be used. So if I put it all together, is there a way to quantify where your Syndion and SABRE revenue numbers are today? And how to think about it and also how to think about ALD for high-K metal gate?

    非常有幫助,蒂姆。然後是先進包裝的後續行動,我了解您確實提到包裝趨勢可能會翻倍。您談到了用於 TSV 蝕刻的 Syndion 和用於金屬深度的 SABRE 3D。如果我記得的話,當我回頭看我的筆記時,2015 年,你說這將是一個價值 2 億到 3 億美元的機會。然後我想去年,人們在 2020 年更新了這兩個版本,你說 Syndion 和 SABRE 從那時起實際上可能翻倍。我有點好奇,我知道其中很多都流向了 HBM 和所有這些東西。那麼,從整個先進封裝領域來看,如何看待這裡的機會呢?對於人工智能來說,我的理解是你們的一些用於 DRAM 的高 K 金屬柵極的 ALD 也可以使用。那麼,如果我把所有這些放在一起,有沒有辦法量化您今天的 Syndion 和 SABRE 收入數據?以及如何考慮ALD用於高K金屬柵極?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. It's -- well, you threw out a couple of numbers. We used to talk about a couple of hundred million dollar opportunity doubling from there, puts it in the hundreds. I mean it's growing from that point. It's becoming a sizable business for us. We just haven't quantified specifically for those 2 products. I think what you can take away is we talked about our market share position. We know -- I think everybody knows HBM and 3D chip stacking, those products also play into other elements of advanced packaging. It's a very fast-growing part of our business. And so without giving you our forecast for those markets, I think you can apply what you think about how chip stacking and 3D packaging is building momentum. You know we have 100% market share. I think just -- we're very happy to see what's transpiring in that part of the market.

    是的。嗯,你拋出了幾個數字。我們曾經談論過從那裡翻倍的幾億美元的機會,可以說是數百美元。我的意思是從那時起它就在增長。這對我們來說正在成為一項規模可觀的業務。我們只是還沒有專門對這兩種產品進行量化。我認為你可以得到的是我們談論了我們的市場份額地位。我們知道——我想每個人都知道 HBM 和 3D 芯片堆疊,這些產品也參與先進封裝的其他元素。這是我們業務中增長非常快的一部分。因此,在不向您提供我們對這些市場的預測的情況下,我認為您可以應用您對芯片堆疊和 3D 封裝如何形成勢頭的看法。你知道我們擁有100%的市場份額。我想,我們很高興看到這部分市場正在發生的事情。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes. And Krish, maybe I would just add. You're right about I don't know how long back, we've quantified it as few hundred million dollars, not billions of dollars, just to kind of frame it for you, but it is a very fast-growing component of the business, as Tim pointed out. So maybe that's a good way to think about it. It's something when you listen to everybody talk and everybody is very excited about high bandwidth memory and it's enablement of AI, we're right in the middle of all of that stuff from a packaging stand point.

    是的。克里什,也許我想補充一下。你是對的,我不知道多久以前,我們將其量化為幾億美元,而不是數十億美元,只是為了給你一個框架,但它是經濟增長非常快速的組成部分。正如蒂姆指出的那樣。所以也許這是一個很好的思考方式。當你聽每個人的談話時,每個人都對高帶寬內存及其對人工智能的支持感到非常興奮,從封裝的角度來看,我們正處於所有這些東西的中間。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Krish, what I would say is in this environment, it's the -- those are the tool sets that people are actually pulling for in terms of accelerated deliveries. And so I think that gives you some sense of demand in that market.

    克里什,我想說的是,在這種環境下,人們在加速交付方面實際上需要的是這些工具集。所以我認為這讓你對這個市場的需求有所了解。

  • Operator

    Operator

  • And our next question comes from Tim Arcuri from UBS.

    我們的下一個問題來自瑞銀集團的蒂姆·阿庫裡。

  • Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

    Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

  • Tim, there's been a lot of debate that I hear on NAND, WFE. And obviously, you have the highest share of all the major vendors. And you used to give this model that suggested $14 billion to $15 billion per year to drive sort of mid-30% bit growth, and there was some sensitivity around it. But since then, a lot of the producers have been basically down taking on long-term NAND bit growth, Micron is now saying low 20s. So if you kind of use that old model, you get to something like $11 billion to $12 billion per year to drive low 20s bit growth? I know you've talked about more steps and so that number has gone up. But that's just optically, it's not a ton higher than the $9 billion or so that we're spending this year. And so I keep on getting a ton of debate in terms of just how much NAND, WFE is going to come back. So I'm not asking you for a new model, but can you sort of like handicap what's changed vis-a-vis that old model in a market where bit growth seems to be lower going forward than it was back then?

    Tim,我聽到很多關於 NAND、WFE 的爭論。顯然,您在所有主要供應商中擁有最高的份額。你曾經給出的模型建議每年花費 140 億至 150 億美元來推動 30% 左右的比特增長,並且存在一些敏感性。但從那時起,許多生產商基本上都在降低 NAND 位的長期增長速度,美光現在的預測是 20 左右。因此,如果你使用那種舊模式,你每年會獲得大約 110 億到 120 億美元的資金來推動 20 多歲的低位增長?我知道您已經談到了更多步驟,因此該數字有所增加。但這只是表面上的,這並不比我們今年的 90 億美元左右的支出高出多少。因此,關於 NAND、WFE 將會回歸多少,我一直受到大量爭論。因此,我並不是要求您推出新型號,但您是否可以在未來比特增長似乎低於當時的市場中限制舊型號相對於舊型號的變化?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, I think there probably is equal debate about what long-term etch growth is in the NAND space with some of the new applications coming out. But I'm certainly not going to get crossways with our customers in terms of their forecast versus ours. I mean again, it's a market where we have such a strong position that ultimately -- we look to satisfy with technology road maps and the volume requirements of our customers, and that's kind of where we are. So right now, we know this year, NAND is extremely low.

    好吧,我認為隨著一些新應用的出現,關於 NAND 領域的長期蝕刻增長是什麼可能存在同樣的爭論。但我當然不會在客戶的預測與我們的預測方面發生衝突。我的意思是,在這個市場上,我們擁有如此強大的地位,最終我們希望滿足技術路線圖和客戶的數量需求,而這就是我們所處的位置。所以現在,我們知道今年 NAND 的價格非常低。

  • And without giving you our number, I mean it's -- I would say even if it gets back to something close to that model, it's a lot higher spending than where we are today. I think what's happened to is for Lam, specifically, is not only have we taken -- I mean, what might be different from that model of how much we capture of that SAM is the complexity of doing multi-tier stacking has increased our opportunity through a whole bunch of other steps that we've talked about in the past in terms of backside depth for stress management and gap fill -- ALD gap fills for plug fill, carbon sacrificial plug fills, lots of different types of applications. And so I think even an environment where you didn't do a lot better than the model, Lam would do a lot better, and we do a whole lot better than where we are today in such a low NAND spending environment. So I think we will get, Tim, a new model out once we see a better view of the end demand picture, but that's the best I can do for you right now.

    在不給你我們的數字的情況下,我的意思是——我想說,即使它回到接近那個模型的水平,它的支出也比我們今天的水平高得多。我認為對 Lam 來說,具體來說,發生的事情不僅是我們採取了——我的意思是,與我們捕獲 SAM 的模型不同的是,多層堆疊的複雜性增加了我們的機會通過我們過去在應力管理和間隙填充的背面深度方面討論過的一系列其他步驟——用於塞子填充的 ALD 間隙填充、碳犧牲塞子填充以及許多不同類型的應用。因此,我認為即使在一個沒有比模型做得更好的環境中,Lam 也會做得更好,而且在如此低的 NAND 支出環境中,我們的表現比今天要好得多。因此,蒂姆,我認為,一旦我們更好地了解最終需求情況,我們就會推出一種新模型,但這是我現在能為您做的最好的事情。

  • Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

    Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

  • And then, Doug, I guess just as a follow-up, how does your inventory situation play out? I imagine that it's still kind of a drag on gross margin, and you said it's going to come down, you said pretty slowly as you kind of get to the back half of the year. So can you quantify how much of a drag it is as you're -- as you still have pretty high costs, I would imagine, on these parts? And then when do you think it gets back to some sort of normalized level, and it's not a headwind anymore to margin? .

    然後,道格,我想作為後續行動,您的庫存情況如何?我想這仍然會拖累毛利率,而且你說毛利率會下降,你說隨著下半年的到來,下降速度會相當緩慢。那麼你能量化一下它對你造成的阻力有多大嗎——我想,這些部件的成本仍然很高?然後你認為什麼時候它會回到某種正常化水平,並且不再是保證金的逆風? 。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Tim, I don't really think about right now as a drag on gross margin, honestly. It will prevent improvement in gross margin as we have to consume some of the inventory sitting there, right, to the extent that we're negotiating costs of some of the stuff down, the stuff that we have is already on the balance sheet, right? So you've got to consume that first. So that's the right way to think about it. I don't really think of it as a drag in the near term. And Tim, it will come down, although what I tried to say in my script was it's going to come down a little bit more slowly than perhaps you've seen us be able to drive it to in the past because we canceled a lot of purchase orders, a lot of material in that as part of negotiating through that, you end up taking perhaps a little bit more than you need in the near term, we'll consume it over time.

    是的,蒂姆,說實話,我現在並不認為這會拖累毛利率。這將阻礙毛利率的改善,因為我們必須消耗一些庫存,對吧,在某種程度上,我們正​​在談判降低一些東西的成本,我們擁有的東西已經在資產負債表上了,對吧?所以你必須先消耗掉它。所以這是正確的思考方式。我真的不認為這會在短期內成為拖累。蒂姆,它會下降,儘管我在劇本中試圖說的是,它下降的速度可能比你過去看到的要慢一些,因為我們取消了很多採購訂單,其中有很多材料,作為談判的一部分,您最終可能會比短期內需要的多一點,我們會隨著時間的推移而消耗它。

  • But it's just going to create a little bit of a delay in the reduction of inventory that you've seen us be able to do it in the past. So it's going to be a little bit sticky, I think, Tim, through the year. And then I think it will come down through the year, but I think it's going to be a little bit sticky. And then you'll see it improve as we get into next year.

    但這只會造成庫存減少方面的延遲,而您過去已經看到我們能夠做到這一點。所以蒂姆,我認為這一年都會有點粘。然後我認為這一數字會在今年有所下降,但我認為它會有點粘。當我們進入明年時,你會看到它有所改善。

  • Operator

    Operator

  • And our next question comes from Brian Chin from Stifel.

    我們的下一個問題來自 Stifel 的 Brian Chin。

  • Brian Edward Chin - Associate

    Brian Edward Chin - Associate

  • Few questions. Maybe just the backtrack on the increase to WFE this year of the, let's call it, $2 billion to $3 billion increase. Is that mainly situated in the second half? How should we think about, I guess, timing between calendar 3Q and 4Q? And I guess how much of the upside is HBM oriented?

    幾個問題。也許只是今年 WFE 增加的回溯,我們稱之為 20 億至 30 億美元的增加。主要是在下半年嗎?我想,我們應該如何考慮日曆第三季度和第四季度之間的時間安排?我猜想 HBM 帶來的好處有多少?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Brian, I guess what I'd say is it is a little bit second half weighted, and the things we pointed to in our scripts were little bit of upside in China -- China domestic and a little bit of high bandwidth memory. I don't think we're going to put a quantification between the third quarter and the fourth quarter of the calendar year, except to say it's a little bit second half weighted.

    是的,布萊恩,我想我想說的是,它是有點後半部分加權的,我們在腳本中指出的東西在中國有一點好處——中國國內和一點高帶寬內存。我不認為我們會在日曆年的第三季度和第四季度之間進行量化,只是說它有點下半年的加權。

  • Brian Edward Chin - Associate

    Brian Edward Chin - Associate

  • Okay. That's fair enough. Maybe for my follow-up, clearly, there's a lot of focus on high bandwidth DRAM. But Tim, I was wondering, could you interject maybe your thoughts on the role of the role that SSD and flash storage might play in generative AI? And I'm sure that's factored into sort of that 1% penetration for AI servers and kind of that $1 billion to $1.5 billion WFE investment?

    好的。這很公平。也許對於我的後續行動來說,顯然,人們非常關注高帶寬 DRAM。但蒂姆,我想知道,您能否插話一下您對 SSD 和閃存存儲在生成人工智能中可能扮演的角色的看法?我確信人工智能服務器 1% 的滲透率以及 10 億至 15 億美元的 WFE 投資都考慮到了這一點?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • It is. And I think that was even in my answer to the last question was, I think there's still a lot of debate as to how much storage really gets driven in this, but we're a believer that there is some element of demand driven there. I think that when we think about DRAM, there's a couple of things at play in terms of what drives it. One is, of course, the HBM also increases die size, I think, as you know. And that leads perhaps to even greater WFE increase associated both with the silicon side of that as well as the packaging side. I'm not sure that same dynamic exists on the NAND side, but in terms of it's consumption, it feels like there should be some. But again, I'm willing to sort of let this play out a little further to see what the new model should be. And we talk closely to our customers to see what they're seeing in the marketplace as well.

    這是。我認為,即使在我對最後一個問題的回答中,我認為關於這方面真正驅動了多少存儲,仍然存在很多爭論,但我們相信其中存在一些需求驅動的因素。我認為當我們考慮 DRAM 時,有幾個因素在驅動它。當然,我認為,正如您所知,HBM 也會增加芯片尺寸。這可能會導致與硅方面以及封裝方面相關的 WFE 進一步增加。我不確定 NAND 方面是否存在同樣的動態,但就其消耗而言,感覺應該有一些。但同樣,我願意讓這件事進一步發展,看看新模型應該是什麼樣的。我們與客戶密切交談,了解他們在市場上看到的情況。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Brian, this is Doug. I don't know if this helps. But when I look at like the composition of some of these AI servers, nominally, there's roughly 8x the DRAM versus an enterprise class server and 3x demand from a storage standpoint. I don't know if that will help you get your head wrapped around it. But DRAM is clearly a little bit more enabling on the compute side, but storage picks up also.

    是的,布萊恩,這是道格。我不知道這是否有幫助。但當我觀察其中一些人工智能服務器的組成時,名義上,DRAM 的數量大約是企業級服務器的 8 倍,從存儲的角度來看,需求是企業級服務器的 3 倍。我不知道這是否能幫助你理解它。但 DRAM 顯然在計算方面更具支持性,但存儲也有所提升。

  • Brian Edward Chin - Associate

    Brian Edward Chin - Associate

  • Got it. And probably scale out of these language models probably also could increase that storage potential over time. But thanks for your input.

    知道了。隨著時間的推移,擴展這些語言模型也可能會增加存儲潛力。但感謝您的投入。

  • Operator

    Operator

  • Our next question comes from Toshiya Hari from Goldman Sachs.

    我們的下一個問題來自高盛的 Toshiya Hari。

  • Toshiya Hari - MD

    Toshiya Hari - MD

  • Tim or Doug. Just on China, I was hoping you guys could give a little more color in terms of what you're seeing on the ground. Doug, I think you said China was 26% of revenue and a big chunk of that was domestic. What sort of the makeup by application, foundry, memory and other? And I guess, importantly, how should we think about sustainability going forward? It's really hard to tell from our standpoint, just given the spend is so strategic, right? It's not necessarily tied directly to near-term economics. So it's hard for us. But in terms of what you're hearing from customers, what is the feedback? And how much visibility are the you going forward?

    蒂姆或道格。就中國而言,我希望你們能夠根據你們在實地看到的情況提供更多的色彩。道格,我想你說過中國占收入的 26%,其中很大一部分來自國內。什麼樣的妝容是通過塗抹、代工、記憶等來實現的?我想,重要的是,我們應該如何思考未來的可持續性?從我們的角度來看,這真的很難說,因為這筆支出是如此具有戰略意義,對吧?它不一定與短期經濟直接相關。所以我們很難。但就您從客戶那裡聽到的情況來看,反饋是什麼?您未來的知名度有多少?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I think that it's split. I mean, obviously, on the domestic China side between some memory investments as well as a lot of specialty technologies, trailing edge focus on end markets, I think we're all familiar with automotive and industrial and those types of markets. As you mentioned, it's strategic. I mean, these are customers that are giving us long-term forecasts. Doug talked last quarter about deferred revenues are impacted by some of the down payments, at least within the visibility horizon that we need, we feel we have a pretty good view of a fair bit of investment that this continues in China, especially in those specialty technology markets where new fabs are emerging to try to capture some element of those trailing edge end markets.

    是的。我認為它是分裂的。我的意思是,顯然,在中國國內方面,在一些內存投資以及許多專業技術之間,對終端市場的後緣關注,我認為我們都熟悉汽車和工業以及這些類型的市場。正如你提到的,這是戰略性的。我的意思是,這些客戶為我們提供了長期預測。道格上季度談到遞延收入受到一些首付的影響,至少在我們需要的可見範圍內,我們認為我們對中國持續進行的相當多的投資有很好的看法,特別是在那些專業領域新晶圓廠不斷湧現的技術市場,試圖佔領那些後緣終端市場的某些要素。

  • Toshiya Hari - MD

    Toshiya Hari - MD

  • That's helpful. And then as a follow-up, maybe 1 for Doug on gross margin. You talked about operational efforts and initiatives a couple of times what exactly are you doing today? I know there's no ending to things like this, but which inning are you in, in terms of reaping some of the benefits? And you used to talk about Malaysia as a headwind a year ago, 1.5 years ago, where are you with the ramp there? And how should we think about the tailwind as volumes recover going forward?

    這很有幫助。作為後續行動,Doug 的毛利率可能為 1。您多次談到運營工作和舉措,您今天具體在做什麼?我知道這樣的事情沒有結束,但是就獲得一些好處而言,你處於哪一局?一年前,1.5年前,你曾說過馬來西亞是逆風,你在那裡的坡道在哪裡?隨著未來銷量的恢復,我們應該如何看待順風車?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes. I think, Toshi, the way to think about it is business is down. Right now, we're not really growing anywhere. I think as we see growth come back, whenever that is, the pivot to that Malaysia factory, which has an opportunity to do more than it's doing today. Will be largely what you see from us. Now maybe to help with the restructuring activities, we embarked on plans to kind of restructure the cost footprint of the company. And maybe the best way to think about it, I've given you a forecast. We think we're going to take charges of $250 million at this point. We've taken $143 million at the end of the last quarter.

    是的。我認為,Toshi,思考這個問題的方式是生意下滑。目前,我們並沒有真正實現任何增長。我認為,當我們看到增長回升時,無論何時,都會轉向馬來西亞工廠,該工廠有機會做得比今天更多。很大程度上將是您從我們身上看到的。現在,也許為了幫助重組活動,我們開始製定計劃來重組公司的成本足跡。也許最好的思考方式是,我給了你一個預測。我們認為此時我們將承擔 2.5 億美元的費用。截至上季度末,我們已獲得 1.43 億美元。

  • So we still have some things we're working on. Although I would tell you the workforce portion of it is complete. We're done with that aspect of it. So that's behind us, we believe. So there's just some other things we're doing around looking at product, repositioning inventory, maybe a little bit of infrastructure stuff were embarked upon what will be a multiyear digital transformation initiative at the company, which will deliver efficiencies over the next several years. So there's a handful of things that are still coming in the future, I guess, is what I would describe.

    所以我們還有一些事情正在努力。雖然我會告訴你它的勞動力部分已經完成。我們已經完成了這方面的工作。我們相信,這一切都在我們身後。因此,我們正在做一些其他事情,圍繞產品、重新定位庫存,也許還開始了一些基礎設施建設,這將是公司的多年數字化轉型計劃,這將在未來幾年提高效率。因此,我想,未來還會發生一些事情,這就是我所描述的。

  • Operator

    Operator

  • Our next question comes from Atif Malik from Citi.

    我們的下一個問題來自花旗銀行的 Atif Malik。

  • Atif Malik - Director & Semiconductor Capital Equipment and Specialty Semiconductor Analyst

    Atif Malik - Director & Semiconductor Capital Equipment and Specialty Semiconductor Analyst

  • I have 2 questions on leading-edge investments. I thought -- you gave an interesting data point that 1% AI server adoption leads to $1 billion to $1.5 billion incremental WFE. When I listened to the major foundry in Taiwan, they talked about 50% AI semi growth rate in the next few years but they're not really raising CapEx this year. And we believe AI server adoption is probably like high single digit, 8%, 9%. So what explains the discrepancy in terms of not seeing a lift in leading-edge investments from the higher server adoption? Maybe it's just underutilization in other end markets.

    我有兩個關於前沿投資的問題。我認為,您提供了一個有趣的數據點,即 1% 的 AI 服務器採用率會帶來 10 億至 15 億美元的 WFE 增量。當我聽台灣主要代工廠的講話時,他們談到未來幾年 AI 半增長率將達到 50%,但今年他們並沒有真正提高資本支出。我們相信人工智能服務器的採用率可能會達到高個位數,8%、9%。那麼,如何解釋服務器採用率提高導致前沿投資沒有增加的差異呢?也許只是在其他終端市場沒有得到充分利用。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • I guess what I think about it, Atif, is these are longer-term statements we're making. I think you're right about the composition of AI servers. In the short term, you're not really going to see a meaningful uptick in WFE, frankly. This is going to occur over the next several years. As more of -- and you're right, I think server volumes largely, I don't know, mid- to, I'd call it, mid-single digit percentage of total servers. I think that grows over time.

    Atif,我想我的想法是,這些是我們正在做出的長期聲明。我認為你對人工智能服務器的組成的看法是正確的。坦率地說,短期內你不會真正看到 WFE 出現有意義的上升。這將在未來幾年內發生。隨著更多 - 你是對的,我認為服務器數量很大程度上,我不知道,我稱之為中到,佔服務器總數的中個位數百分比。我認為這種情況會隨著時間的推移而增長。

  • But in the short term, it doesn't really show up as quickly as you might think. It requires sort of capital investments to occur for future demand. That doesn't really happen in a meaningful way in the short term.

    但從短期來看,它並沒有像你想像的那麼快顯現出來。它需要某種資本投資來滿足未來的需求。短期內這並不會真正以有意義的方式發生。

  • Atif Malik - Director & Semiconductor Capital Equipment and Specialty Semiconductor Analyst

    Atif Malik - Director & Semiconductor Capital Equipment and Specialty Semiconductor Analyst

  • Got it, Doug. And then as my follow-up, you guys are very well leveraged to get all around, particularly on the edge side and have been waiting for this technology inflection for the last 3 years. Your peer in Europe is talking about pilot line orders in December quarter this year. And Tim spoke about having better visibility versus 6 months ago. So my question to you is, when do you see the gate-all-around opportunity kind of grow meaningful for you? Is it the first half of next year or second half of next year?

    明白了,道格。然後,作為我的後續行動,你們已經很好地利用了一切,特別是在邊緣方面,並且在過去 3 年裡一直在等待這種技術的轉變。您的歐洲同行正在談論今年 12 月季度的試生產線訂單。 Tim 談到與 6 個月前相比,能見度更好了。所以我問你的問題是,你什麼時候認為全面的機會對你來說變得有意義?是明年上半年還是明年下半年?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • I think it starts in line with the time line that you just talked about and it's first -- through the first half and second half of next year. I mean these things tend to ramp and I think we are at the point where gate-all-around is going to become more meaningful. We'll see that in growth in some of the traditional products that are sort of lumped in with everything else. But then there are specialized products that we talked about, like selective etch those -- that suite of tools that's very specialized for applications like (inaudible), we'll see faster growth in those segments, I think, as we move through '24 and on into '25. So yes, maybe we're at that key inflection point. How that overcomes and the rest of the market dynamics in '24? We're not ready to guide '24 yet, but some of those technology trends are starting to move in our favor.

    我認為它的開始與你剛才談到的時間線一致,首先是到明年上半年和下半年。我的意思是,這些事情往往會加劇,我認為我們正處於“全面門”將變得更有意義的時刻。我們將看到一些傳統產品的增長,這些產品與其他產品混在一起。但我們談到了一些專門的產品,比如選擇性蝕刻——這套工具非常專門用於(聽不清)等應用,我認為,隨著我們進入 24 年,我們將看到這些領域的更快增長並進入'25。所以,是的,也許我們正處於那個關鍵的轉折點。這如何克服 24 年的市場動態?我們還沒有準備好指導 '24,但其中一些技術趨勢已開始朝著對我們有利的方向發展。

  • Operator

    Operator

  • Our next question comes from Joe Moore from Morgan Stanley.

    我們的下一個問題來自摩根士丹利的喬摩爾。

  • Joseph Lawrence Moore - Executive Director

    Joseph Lawrence Moore - Executive Director

  • I wanted to follow up on the China question. I guess, how are you seeing the impact of last year's export controls? Is that -- your China business has obviously done pretty well since then given the headwinds? Have there been -- have the restrictions been what you thought they would be? Is there anything that's different? And then there continue to be rumblings of additional controls. Do you have any visibility into what that could look like?

    我想跟進中國問題。我想,您如何看待去年出口管制的影響?是不是——從那時起,儘管面臨逆風,您的中國業務顯然表現得相當不錯?這些限制是否如您所想像的那樣?有什麼不一樣的地方嗎?然後不斷有關於額外控制措施的傳言。您知道那會是什麼樣子嗎?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes, Joe, we had talked last -- at the end -- towards the end of last year, right around the October 7 announcement that the impact to our business this year would be $2 billion to $2.5 billion. We clarified earlier this year that because we were able to make some shipments for trailing-etch memory that we had not -- we weren't sure whether we could that impact was going to be closer to the $2 billion mark. That is still what we estimate the impact of last year's restrictions, the October 7 restrictions on our business, $2 billion of revenue.

    是的,喬,我們上次談過——在年底——去年年底,就在 10 月 7 日宣布今年對我們業務的影響將達到 20 億至 25 億美元的時候。我們今年早些時候澄清說,因為我們能夠發貨一些我們以前沒有的尾隨蝕刻內存,所以我們不確定我們是否能夠使影響接近 20 億美元大關。這仍然是我們對去年限制措施(10 月 7 日限制對我們業務、20 億美元收入的影響)的估計影響。

  • Now at the same time, as China has shifted its focus towards the areas they can invest, these trailing etch, foundry logic and some of the trailing edge memory, we've seen that upticking a bit as we've moved through this year, and that's what we just spoke about. So I can't really speak to future regulations that may or may not be contemplated. We have a team in Washington, D.C. that's regularly engaged with the government, make sure they understand our business and the details of the semiconductor industry, and that's about the best we can do right now.

    與此同時,隨著中國將重點轉向他們可以投資的領域,這些落後的蝕刻、代工邏輯和一些先進的存儲器,隨著今年的進展,我們已經看到這一點有所上升,這就是我們剛才談到的。因此,我無法真正談論未來可能會或可能不會考慮的法規。我們在華盛頓特區有一個團隊,定期與政府接觸,確保他們了解我們的業務和半導體行業的細節,這就是我們現在能做的最好的事情。

  • Operator

    Operator

  • Our next question comes from Vivek Arya from Bank of America.

    我們的下一個問題來自美國銀行的 Vivek Arya。

  • Vivek Arya - MD in Equity Research & Research Analyst

    Vivek Arya - MD in Equity Research & Research Analyst

  • Tim, I'm curious, what should be the signs we should look for, for when memory utilization starts to pick up? And when orders do you guys start to improve? So if you look at historical trends, should we be waiting for like 1 quarter of pricing improvement, 2 quarters of pricing improvement? Just what are kind of the external metrics we should be keeping an eye on to try and predict when memory WFE should start to pick up?

    蒂姆,我很好奇,當內存利用率開始上升時,我們應該尋找哪些跡象?你們的訂單什麼時候開始改善?因此,如果您查看歷史趨勢,我們是否應該等待 1 個季度的定價改善、2 個季度的定價改善?我們應該關注哪些外部指標來嘗試預測內存 WFE 何時應該開始恢復?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes, a little bit difficult, I guess, just from the standpoint that, obviously, pricing improvement in memory, we tend to start to get customers interested in greater utilization of the fab. I think easier, I was going to answer relative to what you'd see in Lam. Obviously, once we start reporting an uptick in our CSBG spares and service businesses, obviously, it's an indicator that fabs are starting to be utilized more, and therefore, consuming spares and service. That's why I mentioned a little earlier that we are expecting to be really 1 of the first beneficiaries of a recovery in memory because so much of the -- there have been such deep utilization cuts in the memory fabs, that the spares and service side of our business has been impacted to a greater degree than we would have expected. When they turn those back on, that revenue should come back, and that will probably be your first indications from Lam's reported numbers of what's going on.

    是的,我想這有點困難,顯然,從內存定價改善的角度來看,我們往往會開始讓客戶對提高晶圓廠的利用率感興趣。我認為更容易,我將根據你在林身上看到的情況來回答。顯然,一旦我們開始報告我們的 CSBG 備件和服務業務有所增加,這顯然表明晶圓廠開始得到更多利用,因此消耗備件和服務。這就是為什麼我之前提到,我們預計將成為內存復甦的首批受益者之一,因為內存工廠的利用率大幅削減,備件和服務方面都受到了影響。我們的業務受到的影響比我們預期的要大。當他們重新啟動這些功能時,收入應該會回來,這可能是你從林報告的數字中看到的第一個跡象。

  • Vivek Arya - MD in Equity Research & Research Analyst

    Vivek Arya - MD in Equity Research & Research Analyst

  • I guess that was really the origin of the question because from what I heard on the call, I think you were suggesting there was a scenario in which CSBG revenues do start to pick up sequentially in the next quarter. But then you mentioned that the visibility around memory utilization, right, is not there from an industry perspective. So is there still a delta between the two?

    我想這確實是問題的根源,因為從我在電話中聽到的內容來看,我認為您暗示存在一種情況,CSBG 收入確實會在下個季度開始連續回升。但隨後您提到,從行業角度來看,內存利用率的可見性並不存在。那麼兩者之間還存在Delta嗎?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Vivek, we did not suggest CSBG is picking up in the next quarter. That was not a statement anybody on the call made. So if we said something that led you did that conclusion, maybe we misspoke or perhaps you misinterpreted something else we've said.

    Vivek,我們並不認為 CSBG 在下個季度會有所回升。這並不是電話會議中任何人發表的聲明。因此,如果我們說了一些話讓你得出了這個結論,也許我們說錯了,或者也許你誤解了我們所說的其他內容。

  • Vivek Arya - MD in Equity Research & Research Analyst

    Vivek Arya - MD in Equity Research & Research Analyst

  • Okay. Sorry. Maybe I misheard. Then maybe for my second question, Doug, the gross margin upside in Q3, right, it was quite sizable. I don't remember the last time you had such a strong gross margin upside. I think you mentioned something along the lines of mix. Is that mix unsustainable? Like is that likely to reverse, right, at some point? What is the right way to think about the sustainability of gross margins at these levels. So let's say if you assume that your sales continue to grow sequentially, then can gross margins continue to be at plus minus these levels? Or is there something that would dramatically change in the mix to change the trajectory of gross margins?

    好的。對不起。也許我聽錯了。然後也許是我的第二個問題,道格,第三季度的毛利率上升,對吧,這是相當大的。我不記得上次毛利率如此強勁上升是什麼時候了。我想你提到了一些關於混合的內容。這種混合是不可持續的嗎?就像這種情況可能會在某個時候逆轉,對吧?在這些水平上考慮毛利率的可持續性的正確方法是什麼?那麼,假設您假設您的銷售額繼續連續增長,那麼毛利率可以繼續處於正負這些水平嗎?或者是否有什麼因素會在組合中發生巨大變化從而改變毛利率的軌跡?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Vivek, I don't know if there's anything I'd point to that is dramatic. I suggested 2 things. One, our operational efforts in terms of just efficiencies and whatnot, it is maybe a little further ahead of where I thought it would have been. And I did point to favorable mix in the current quarter. You always see mix up and down, both products as well as customer mix. We're benefiting a little bit in the September quarter. I don't know if you'll see that same magnitude of mix benefit in December. The operational stuff, we will.

    Vivek,我不知道是否有什麼事情是戲劇性的。我建議了兩件事。第一,我們在效率等方面的運營努力,可能比我想像的要領先一些。我確實指出了當前季度的有利組合。你總是會看到產品和客戶組合的上下混合。我們在九月季度略有受益。我不知道 12 月您是否會看到同樣程度的混合效益。運營方面的事情,我們會的。

  • I wouldn't run too far away from where we are right now into the near term anyway. But I would tell you, I'm very pleased with what we've been able to execute and the things that are in our own control, we've done extremely well.

    無論如何,在短期內我不會偏離我們現在的位置太遠。但我想告訴你,我對我們能夠執行的事情以及我們自己控制的事情感到非常滿意,我們做得非常好。

  • Operator

    Operator

  • Our next question comes from Stacy Rasgon from Bernstein Research.

    我們的下一個問題來自伯恩斯坦研究中心的史黛西·拉斯貢(Stacy Rasgon)。

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • For my first one, you talked about the bulk of the China $1.8 billion in deferred selling through in the second half time. How much of that $1.8 billion actually is coming from China? And how much of that do you actually see yourself recognizing in the second half? And is it more in Q3, Q4? Do you have any idea on the timing of that?

    在我的第一篇文章中,您談到了中國下半年推遲出售的 18 億美元資金中的大部分。這 18 億美元中有多少實際上來自中國?您在下半場實際上看到自己認識到了多少?第三季度、第四季度是否更多?您對具體時間有什麼想法嗎?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes. I've never said how much of it is in China. I've described it as newer customers, right? Customers that are new that we're not exactly sure of the creditworthiness, we require cash in advance before we build the tools. I haven't put a number on how much of it is China. Although a decent amount of it is. And what I said on how much that turns to revenue, as I said, the majority of it turns to revenue in the second half of the year.

    是的。我從來沒有說過有多少是在中國。我已經將其描述為新客戶,對吧?對於我們不太確定其信譽的新客戶,我們在構建工具之前需要提前提供現金。我沒有具體說明其中有多少是中國的。雖然其中有相當一部分。我所說的有多少轉化為收入,正如我所說,其中大部分在下半年轉化為收入。

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • So like you have $1.8 billion of revenue that will be recognized in the second half from that deferred? Like what would be the normal amount that would imagine, I'm just trying to get a few in the delta?

    那麼,你們有 18 億美元的收入將在下半年從遞延的收入中確認嗎?就像想像中的正常數量是多少一樣,我只是想在三角洲獲得一些?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • No, Stacy, there's a normalized level of deferred revenue that's always here, always has been here, and you should expect to always be here. It's probably $750 million to $1 billion on a regular basis, I think, is what you've seen from us in the past. I wouldn't expect it to be too different than that. We've just got a lot of this cash in advance payments right now that's why it's elevated.

    不,史黛西,遞延收入的正常水平一直都在這裡,一直都在這裡,你應該期望永遠都在這裡。我想,這可能是 7.5 億到 10 億美元的定期收入,這就是你過去從我們身上看到的。我沒想到它會與此有太大不同。我們現在剛剛收到了大量預付款,這就是價格上漲的原因。

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • Got it. For my second question, so you're talking memory WFE down in the mid-40s. Can you give us a feeling for how you see DRAM versus NAND breaking out in that? And whether or not your views on both of those have changed over the last 90 days versus like where we were a quarter ago?

    知道了。對於我的第二個問題,你說的是 40 多歲左右的記憶 WFE。您能否給我們介紹一下您如何看待 DRAM 與 NAND 在這方面的突破?與一個季度前相比,您對這兩個問題的看法在過去 90 天中是否發生了變化?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • We've said that NAND is obviously by far worse. We said about -- about 75% year-on-year. So obviously, that was quite that. I mean DRAM then obviously less than down mid-40s. And I think that in terms of change, we've seen a little bit of improvement, as we've just talked about with HBM and some signs of at least a little bit more optimism on our part that the DRAM would be the first to recover as a result of some of these trends. And again, just listening to our customers and talking to them, we've heard some customers talk about further cuts in NAND just in the last 24 hours. So...

    我們已經說過 NAND 顯然要差得多。我們說同比大約 75%。顯然,就是這樣。我的意思是 DRAM 明顯低於 40 年代中期。我認為就變化而言,我們已經看到了一些改進,正如我們剛剛與 HBM 討論的那樣,一些跡象表明我們至少對 DRAM 將成為第一個更樂觀的態度。由於其中一些趨勢而恢復。再說一次,只要傾聽我們的客戶並與他們交談,我們就听到一些客戶談論在過去 24 小時內進一步削減 NAND。所以...

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • Do you think utilization in DRAM is still falling?

    您認為 DRAM 的利用率仍在下降嗎?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • It's still at a pretty low level, Stacy.

    它仍然處於相當低的水平,史黛西。

  • Operator

    Operator

  • Our next question comes from Blayne Curtis from Barclays.

    我們的下一個問題來自巴克萊銀行的 Blayne Curtis。

  • Blayne Peter Curtis - Director & Senior Research Analyst

    Blayne Peter Curtis - Director & Senior Research Analyst

  • Maybe just following back up on what Stacy just asked. I was just kind of confused. You raised the outlook for WFE by a couple billion, and it seemed like it was memory, that was the inflection. But then when you talk about memory, it seems like NAND is kind of flattish. And I'm just kind of curious how much of the increase is there in DRAM? Or is really the growth you're seeing in September more kind of this China part that Stacy was just asking about?

    也許只是跟進史黛西剛才問的問題。我只是有點困惑。你將 WFE 的前景提高了幾十億,這似乎是記憶,這就是拐點。但當你談論內存時,NAND 似乎有點扁平。我只是好奇 DRAM 的增長有多少?或者你在九月份看到的增長真的更像史黛西剛才問到的中國部分嗎?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Blayne, Tim pointed to 2 things. A little bit more in China and high-bandwidth memory as -- it's not a huge uptick in WFE, but those are the contributors.

    是的,布萊恩,蒂姆指出了兩件事。在中國和高帶寬內存方面,WFE 的增長並不是很大,但這些是貢獻者。

  • Blayne Peter Curtis - Director & Senior Research Analyst

    Blayne Peter Curtis - Director & Senior Research Analyst

  • Got you. And then I guess, I just want to understand, there's 2 moving pieces in foundry logic, and you've seen some delays at the leading etch. Can you just -- some commentary between those moving pieces, kind of the non-China piece, what are you seeing for the back half of this year?

    明白你了。然後我想,我只是想了解,鑄造邏輯中有 2 個移動部分,並且您已經看到了領先蝕刻的一些延遲。您能否對這些感人的作品(非中國作品)進行一些評論,您對今年下半年的情況有何看法?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • We described in generally, when you look at WFE down, where it is. Memory is down mid-40s, and you probably know kind of where that is run rating suggested foundry logic, looks like it's down roughly 10%. And yes, you're right, there's been a little bit of a softening in leading-edge foundry logic. We talked a little bit about that last quarter. I'm not sure we're describing anything incremental. Perhaps we saw some things others didn't see sooner than they did. But that's how we see things setting up right now.

    我們一般性地描述了,當你向下看 WFE 時,它在哪裡。內存在 40 多歲左右下降,您可能知道運行評級建議代工廠邏輯的位置,看起來下降了大約 10%。是的,你是對的,前沿的代工邏輯有些軟化。上個季度我們對此進行了一些討論。我不確定我們正在描述任何增量。也許我們比他們更早地看到了一些其他人沒有看到的事情。但這就是我們現在看到的情況。

  • Christina C. Correia - CAO, VP of Corporate Finance & IR

    Christina C. Correia - CAO, VP of Corporate Finance & IR

  • Operator, we have time for 1 more question, please.

    接線員,我們還有時間再問 1 個問題。

  • Operator

    Operator

  • And ladies and gentlemen, our final question will come from Vijay Rakesh from Mizuho.

    女士們、先生們,我們的最後一個問題將來自 Mizuho 的 Vijay Rakesh。

  • Vijay Raghavan Rakesh - MD of Americas Research & Senior Semiconductor Analyst

    Vijay Raghavan Rakesh - MD of Americas Research & Senior Semiconductor Analyst

  • Just a question on -- as you look at WFE for next year, any thoughts on how much some of these onshore greenfield fabs could contribute to CapEx for next year?

    只是一個問題——當您展望明年的 WFE 時,您對這些陸上綠地晶圓廠中的一些可以為明年的資本支出做出多少貢獻有什麼想法嗎?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, I think it's -- as we're just in the middle of this year, it's too early to talk about '24 from an absolute number. But clearly, as we move through the next couple of years, I talked about this -- these regionalization efforts building momentum. I do think that we'll see that becoming a meaningful contributor to spending, not only here but in other parts of the world.

    嗯,我認為,由於我們正處於今年年中,現在從絕對數字來談論“24”還為時過早。但顯然,在我們接下來的幾年裡,我談到了這一點——這些區域化努力正在形成勢頭。我確實認為我們會看到它成為支出的有意義的貢獻者,不僅在這裡,而且在世界其他地方。

  • Vijay Raghavan Rakesh - MD of Americas Research & Senior Semiconductor Analyst

    Vijay Raghavan Rakesh - MD of Americas Research & Senior Semiconductor Analyst

  • Got it. And then on the AI side, obviously, you have mentioned packaging as a big road map there. When you look at CoWoS packaging, any thoughts on how much -- what your exposure is there and how you see that growing next year, I guess?

    知道了。然後在人工智能方面,顯然,您提到包裝是一個大路線圖。當您查看 CoWoS 包裝時,您有什麼想法嗎?我想,您的曝光程度以及您認為明年的增長情況如何?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I mean everything related to advanced packaging is growing right now. And I would say Lam's exposure kind of across the board in etching deposition is very strong. So I called out specifically HBM, but you're right. I mean when you look at the importance of things like CoWoS to an AI package system, our exposure there even broadens further to the types of tools that we sell in there.

    是的。我的意思是,與先進封裝相關的一切現在都在增長。我想說,林在蝕刻沉積方面的全面曝光非常強。所以我專門喊出了 HBM,但你是對的。我的意思是,當你看到像 CoWoS 這樣的東西對人工智能軟件包系統的重要性時,我們在那裡的接觸甚至進一步擴大到我們在那裡銷售的工具類型。

  • And I mentioned at this point, if people caught it, even in advanced packaging, we're having to invest in new technologies for atomic scale processing. And so you can see things like ALD being used in advanced packaging now. So we're really in a world where ALD is being used for advanced packaging. ALE is being used for 200-millimeter GaN. I think that it is creating tremendous opportunities for Lam to leverage our really broad portfolio of technologies for all these new emerging growth opportunities. And it's pretty exciting.

    我在這一點上提到,如果人們發現了它,即使是在先進的封裝中,我們也必須投資於原子級處理的新技術。因此,您現在可以看到 ALD 等技術被用於先進封裝。因此,我們確實處於 ALD 被用於先進封裝的世界中。 ALE 用於 200 毫米 GaN。我認為,這為 Lam 創造了巨大的機會,可以利用我們真正廣泛的技術組合來實現所有這些新興的增長機會。這非常令人興奮。

  • Operator

    Operator

  • And with that, ladies and gentlemen, we'll be turning the floor back over to the management team for any closing remarks. Ladies and gentlemen, we'll be closing today's conference call. We thank you for joining. You may now disconnect your lines.

    女士們、先生們,接下來,我們將把發言權交還給管理團隊,讓他們發表閉幕詞。女士們先生們,我們今天的電話會議即將結束。我們感謝您的加入。您現在可以斷開線路。