科林研發 (LRCX) 2023 Q3 法說會逐字稿

內容摘要

Lam Research 的 3 月季度業績超出預期,代工相關係統收入創歷史新高。近期的需求環境仍然充滿挑戰,內存客戶減少了對增加容量的投資。

然而,隨著數據密集型應用程序的持續增長,Lam 在內存領域確立的領導地位使公司能夠跑贏大市。 Lam 還在 EUV 圖案化和環柵器件領域獲得關注,為原子層沉積和蝕刻提供差異化的解決方案。

該公司預計 6 月季度 NAND 和 DRAM 收入將進一步下降。 Lam Research 的高管在最近的財報電話會議上討論了他們的毛利率和製造計劃。

該公司的目標是到今年年底實現 45% 的毛利率,到 2024 年有望達到 47%。

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Good day, and welcome to the Lam Research March 2023 Quarter Financial Conference Call. Today's conference is being recorded.

    美好的一天,歡迎參加 Lam Research 2023 年 3 月季度財務電話會議。今天的會議正在錄製中。

  • At this time, I'd like to turn the conference over to Tina Correia. Please go ahead.

    現在,我想將會議轉交給 Tina Correia。請繼續。

  • Christina C. Correia - CAO, VP of Corporate Finance & IR

    Christina C. Correia - CAO, VP of Corporate Finance & IR

  • Thank you, operator, and good afternoon, everyone. Welcome to the Lam Research quarterly earnings conference call. With me today are Tim Archer, President and Chief Executive Officer; and Doug Bettinger, Executive Vice President and Chief Financial Officer.

    謝謝接線員,大家下午好。歡迎參加 Lam Research 季度收益電話會議。今天和我在一起的是總裁兼首席執行官蒂姆·阿徹 (Tim Archer);執行副總裁兼首席財務官 Doug Bettinger。

  • During today's call, we'll share our overview on the business environment, and we'll review our financial results for the March 2023 quarter and our outlook for the June 2023 quarter.

    在今天的電話會議上,我們將分享我們對商業環境的概述,我們將回顧 2023 年 3 月季度的財務業績和 2023 年 6 月季度的展望。

  • The press release detailing our financial results was distributed a little after 1:00 p.m. Pacific Time this afternoon. The release can also be found on the Investor Relations section of the company's website, along with the presentation slides that accompany today's call.

    詳細介紹我們財務業績的新聞稿是在下午 1:00 之後發布的。太平洋時間今天下午。該新聞稿還可以在公司網站的投資者關係部分以及今天的電話會議隨附的演示幻燈片上找到。

  • Today's presentation and Q&A include forward-looking statements that are subject to risks and uncertainties reflected in the risk factors disclosed in our SEC public filings. Please see accompanying slides in the presentation for additional information.

    今天的演示和問答包括前瞻性陳述,這些陳述受制於我們在 SEC 公開文件中披露的風險因素中反映的風險和不確定性。請參閱演示文稿中隨附的幻燈片以獲取更多信息。

  • Today's discussion of our financial results will be presented on a non-GAAP financial basis, unless otherwise specified. A detailed reconciliation between GAAP and non-GAAP results can be found in the accompanying slides in the presentation.

    除非另有說明,否則今天對我們財務業績的討論將在非 GAAP 財務基礎上進行介紹。可以在演示文稿的隨附幻燈片中找到 GAAP 和非 GAAP 結果之間的詳細對賬。

  • This call is scheduled to last until 3:00 p.m. Pacific Time. A replay of this call will be made available later this afternoon on our website.

    此通話計劃持續到下午 3:00。太平洋時間。今天下午晚些時候我們的網站上將提供此次通話的重播。

  • And with that, I'll hand the call over to Tim.

    有了這個,我會把電話交給蒂姆。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Thank you, Tina, and welcome, everyone. Lam's March quarter results reflect strong execution, with revenues, operating margins and EPS all exceeding the midpoint of our guidance. Foundry-related system revenues achieved record levels, demonstrating our solid progress in both leading edge and specialty technology segments. We continue to prioritize investments in long-term technology road maps, customer support and operational transformation while prudently managing near-term spending and profitability.

    謝謝你,蒂娜,歡迎大家。 Lam 的 3 月季度業績反映出強大的執行力,收入、營業利潤率和每股收益均超過我們指導的中點。與代工相關的系統收入創下歷史新高,表明我們在前沿和專業技術領域取得了穩步進展。我們繼續優先投資於長期技術路線圖、客戶支持和運營轉型,同時謹慎管理近期支出和盈利能力。

  • As our June quarter guidance indicates, the near-term demand environment remains challenging. We expect 2023 WFE spending to be in the low to mid-$70 billion range with additional weakness primarily from memory customers, partially offset by domestic China-related demand.

    正如我們的 6 月季度指引所示,近期需求環境仍然充滿挑戰。我們預計 2023 年 WFE 支出將處於 700 億美元的中低水平,額外的疲軟主要來自內存客戶,部分被中國國內相關需求所抵消。

  • On the China front, we see incremental strength in mature node logic and memory segments. Recently, the U.S. government notified us of a clarification to the rule issued last October governing exports to China. This notification allows us to ship certain products that we had originally excluded from our expectations. We expect to ship these tools in the second half of 2023.

    在中國方面,我們看到成熟節點邏輯和內存領域的實力不斷增強。最近,美國政府通知我們對去年 10 月頒布的對華出口規則進行了澄清。此通知允許我們運送我們最初排除在我們期望之外的某些產品。我們預計將在 2023 年下半年交付這些工具。

  • Overall, memory customers continue to lower fab utilizations, slow technology conversions and reduce investments in capacity additions to limit bit output, to drive inventory down to normalized levels. We expect memory spending for the year to decline approximately 50% from 2022 led by NAND.

    總體而言,內存客戶繼續降低晶圓廠利用率,減緩技術轉換並減少產能增加投資以限制位輸出,從而將庫存降至正常水平。我們預計今年的內存支出將比 2022 年下降約 50%,其中以 NAND 為首。

  • Memory spending in 2023 is at a historic low as a percentage of total WFE. We believe this level of investment is unsustainable to support long-term growth in bit demand, especially considering the data-intensive applications, such as AI, are still in the early stages of adoption and can have approximately 3x the DRAM and 8x the storage content of a regular server. In addition, advances in memory architectures, such as DDR5, are driving process technology evolution and larger die sizes.

    2023 年的內存支出佔 WFE 總量的百分比處於歷史低位。我們認為這種投資水平無法持續支持比特需求的長期增長,特別是考慮到數據密集型應用程序(例如 AI)仍處於採用的早期階段並且可能擁有大約 3 倍的 DRAM 和 8 倍的存儲內容一個普通的服務器。此外,內存架構(如 DDR5)的進步正在推動工藝技術的發展和更大的裸片尺寸。

  • As these trends accelerate, Lam's established leadership in memory positions us to outperform and as we benefit not only from investments in new tools for capacity but also from the technology upgrades to our large installed base of tools. Our installed base for memory has increased close to 40% compared to the last down cycle. It is a valuable platform for growth when memory customers begin to ramp utilization back to normal levels and convert existing lines to next-generation nodes.

    隨著這些趨勢的加速發展,Lam 在內存領域確立的領先地位使我們能夠超越市場,因為我們不僅受益於對新工具的容量投資,還受益於對我們龐大的工具安裝基礎的技術升級。與上一個下降週期相比,我們的內存安裝基數增加了近 40%。當內存客戶開始將利用率恢復到正常水平並將現有線路轉換為下一代節點時,它是一個有價值的增長平台。

  • While Lam clearly stands to benefit when memory conditions improve, this is the one aspect of our growth opportunity. Rising manufacturing complexity tied to key technology inflections is positive for both overall capital intensity and, more importantly, Lam's areas of product strength. For example, EUV patterning and gate-all-around devices are 2 important inflections where Lam has made significant investments in new products for processing at the atomic scale. We are gaining traction with these new products, yet they are in the early stages of the ramp, with the majority of growth still in front of us.

    當記憶條件改善時,Lam 顯然會受益,但這是我們成長機會的一個方面。與關鍵技術變化相關的製造複雜性上升對整體資本密集度以及更重要的是 Lam 的產品優勢領域都是積極的。例如,EUV 圖案化和環柵器件是 Lam 對用於原子級加工的新產品進行大量投資的兩個重要轉折點。我們正在通過這些新產品獲得吸引力,但它們仍處於增長的早期階段,大部分增長仍在我們面前。

  • If we look at patterning, it is already a multibillion-dollar opportunity for Lam. We have a leading share position in this segment, and we are broadening our footprint as EUV adoption scales. Lam's etch solutions have been developed to enhance productivity of EUV by creating well-defined smooth patterns with minimal EUV photon exposure. Our pulse plasma capabilities help reduce line width roughness, which is a particularly challenging problem as EUV resist become thinner at future nodes. Lam's etch portfolio is on track to win close to 75% share of these EUV patterning applications.

    如果我們看一下圖案,這對 Lam 來說已經是一個價值數十億美元的機會。我們在該領域處於領先地位,並且隨著 EUV 採用規模的擴大,我們正在擴大我們的足跡。 Lam 的蝕刻解決方案旨在通過以最少的 EUV 光子曝光創建明確定義的平滑圖案來提高 EUV 的生產率。我們的脈衝等離子能力有助於降低線寬粗糙度,這是一個特別具有挑戰性的問題,因為 EUV 抗蝕劑在未來節點變得更薄。 Lam 的蝕刻產品組合有望贏得這些 EUV 圖案化應用中近 75% 的份額。

  • Also, as the pattern is etched into the film stack, the hardness and stress in the films has a strong influence on pattern fidelity. Precise control of film properties is a hallmark of our deposited hardmask films. We are already the process tool of record for critical applications in the foundry/logic segment for EUV patterning, with continued growth expected as EUV adoption expands.

    此外,隨著圖案被蝕刻到薄膜堆疊中,薄膜中的硬度和應力對圖案保真度有很大影響。精確控制薄膜性能是我們沉積硬掩模薄膜的標誌。我們已經成為 EUV 圖案化鑄造/邏輯領域關鍵應用的記錄工藝工具,隨著 EUV 應用的擴大,我們有望繼續增長。

  • Addressing EUV scalability challenges is also the central goal behind our dry resist technologies. Last year, we saw the first adoption of our dry development and underlayer processes for EUV applications by a major memory customer. Our progress extended to include a second large memory player in the March quarter.

    應對 EUV 可擴展性挑戰也是我們乾式抗蝕劑技術背後的核心目標。去年,我們看到一家主要內存客戶首次採用我們的干式開發和底層工藝用於 EUV 應用。我們的進展擴展到在三月季度包括第二個大內存播放器。

  • Today, I'm pleased to provide another update. A key foundry/logic customer is set to adopt our dry resist deposition, dry development and underlayer solution for their EUV applications. And we will start recognizing revenues for these products in calendar 2023.

    今天,我很高興提供另一個更新。一家重要的代工廠/邏輯客戶將為其 EUV 應用採用我們的干法抗蝕劑沉積、干法顯影和底層解決方案。我們將在 2023 年開始確認這些產品的收入。

  • Looking ahead, the challenges of EUV patterning will continue to grow at every technology node and, in particular, when high-NA EUV adoption dictates the need for even thinner EUV resist. With our differentiated pattern etch, hardmask and dry resist solutions, we see our product portfolio becoming increasingly vital to the industry's scaling road map.

    展望未來,EUV 圖案化的挑戰將在每個技術節點繼續增長,特別是當採用高 NA EUV 決定需要更薄的 EUV 抗蝕劑時。憑藉我們差異化的圖案蝕刻、硬掩模和乾式抗蝕劑解決方案,我們發現我們的產品組合對於行業的擴展路線圖變得越來越重要。

  • Moving to gate-all-around. This inflection introduces what we consider to be the first true 3D logic device from a processing perspective. It requires new etch and deposition capability to address the geometric complexity, reforming the all-around characteristics of the transistor. Processing for gate-all-around, just as the name implies, must take place on top of, underneath and inside the device where reactants need to reach spaces that are perpendicular to the usual reaction direction. The 3D nature of gate-all-around architecture is well suited to atomic layer deposition and atomic layer etching solutions, making a key inflection that plays to our strengths.

    轉向全天候登機口。從處理的角度來看,這種變化引入了我們認為是第一個真正的 3D 邏輯設備。它需要新的蝕刻和沈積能力來解決幾何復雜性,改革晶體管的全方位特性。環柵工藝,顧名思義,必須在設備的頂部、底部和內部進行,反應物需要到達與通常的反應方向垂直的空間。環柵架構的 3D 特性非常適合原子層沉積和原子層蝕刻解決方案,是發揮我們優勢的關鍵轉變。

  • For Lam, the transition to the gate all-around node is close to $1 billion incremental opportunity per 100,000 wafer start per month capacity. Our expectation is for our share in this market to be at least as good as our overall goals for the company.

    對於 Lam 來說,過渡到門級全能節點為每月每 100,000 片晶圓啟動帶來了接近 10 億美元的增量機會。我們期望我們在這個市場中的份額至少與我們為公司製定的總體目標一樣好。

  • To address the manufacturing challenges of gate-all-around devices, Lam has launched several new products, including our Selis, Prevos and Argos suite of selective etch tools, with more to come in the future. These tools can etch and treat all surfaces of the device with ALE-like precision.

    為了應對全環柵器件的製造挑戰,Lam 推出了幾款新產品,包括我們的 Selis、Prevos 和 Argos 選擇性蝕刻工具套件,未來還會推出更多產品。這些工具可以以類似 ALE 的精度蝕刻和處理設備的所有表面。

  • Our selective etch tools have achieved process of record positions at multiple customers for gate-all-around applications, and we saw continued momentum in the March quarter with additional shipments to key customers.

    我們的選擇性蝕刻工具已經在多個客戶的 gate-all-around 應用中取得了創紀錄的地位,我們在 3 月季度看到了持續的勢頭,向主要客戶增加了出貨量。

  • Atomic-level control is also increasingly critical in depositing films in the complex geometries very close to the transistor. Our differentiated approach uses a radical-based plasma ALD reactor to deliver high-performance films with high-volume manufacturing-ready productivity. Multiple customers have now adopted Lam's ALD low-k spacer and nitride films, and we see this demand growing in future nodes.

    在非常靠近晶體管的複雜幾何形狀中沉積薄膜時,原子級控制也越來越重要。我們的差異化方法使用基於自由基的等離子體 ALD 反應器來提供高性能薄膜,並具有大批量製造就緒的生產率。多個客戶現已採用 Lam 的 ALD 低 k 間隔層和氮化物薄膜,我們看到這種需求在未來的節點中不斷增長。

  • In summary, we are working through a lower WFE year in 2023 by managing near-term expenses, strengthening our operational capabilities and prioritizing R&D investments tied to critical manufacturing inflections. Our focus remains on ensuring that when WFE growth resumes, the criticality of our products to our customers' plans and the value of our installed base, which has grown nearly 40% since the last downturn, put us in a strong position to outperform.

    總而言之,我們正在通過管理近期支出、加強我們的運營能力以及優先考慮與關鍵製造變化相關的研發投資來度過 2023 年較低的 WFE 年。我們的重點仍然是確保當 WFE 恢復增長時,我們的產品對客戶計劃的重要性以及我們的安裝基礎的價值(自上次經濟衰退以來增長了近 40%)使我們處於領先地位。

  • Thank you. And now I'll turn it over to Doug.

    謝謝。現在我將把它交給道格。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Great. Thank you, Tim. Good afternoon, everyone, and thank you for joining our call today. Our March 2023 quarter financial results came in at or over the midpoint of our guidance ranges for all financial metrics. We generated over $1.6 billion of free cash flow during the quarter, which was a record level for the company. Overall, we not only delivered financial performance in line with our guidance for the quarter, but we also made great progress on our business transformation and cost-saving initiatives.

    偉大的。謝謝你,蒂姆。大家下午好,感謝您今天加入我們的電話會議。我們 2023 年 3 月的季度財務業績達到或超過了我們所有財務指標的指導範圍的中點。我們在本季度產生了超過 16 億美元的自由現金流,這是公司創紀錄的水平。總體而言,我們不僅實現了本季度的財務業績指引,而且在業務轉型和成本節約計劃方面也取得了長足進步。

  • Let me now move to our revenue and profitability results. Revenue for the March quarter was $3.87 billion, down 27% from the prior quarter. Systems revenue was the main driver of the decrease given the decline in WFE investments, most notably in memory. As expected, with the improvement in supply chain constraints, we are exiting the March quarter, having completed shipments for nearly all of our outstanding back order systems. Back orders are now at what I would characterize as normalized levels.

    現在讓我談談我們的收入和盈利能力結果。 3 月當季收入為 38.7 億美元,較上一季度下降 27%。鑑於 WFE 投資的下降,尤其是在內存方面,系統收入是下降的主要驅動因素。正如預期的那樣,隨著供應鏈限制的改善,我們將退出 3 月季度,幾乎所有未完成的延期交貨系統都已完成發貨。延期交貨現在處於我所說的正常水平。

  • However, our deferred revenue balance is higher than historic levels, remaining flat with the prior quarter with a balance of $2 billion. This deferred revenue balance reflects the impact of increased customer cash and advanced deposits tied to orders from newer customers, which is offset by the decline related to the completed back orders. The advanced payments will remain in deferred revenue until we ship the related tools. And I would just mention that we do expect the majority of these deposits to convert the revenue during calendar year 2023.

    然而,我們的遞延收入餘額高於歷史水平,與上一季度持平,餘額為 20 億美元。這一遞延收入餘額反映了與新客戶訂單相關的客戶現金和預付定金增加的影響,這被與已完成延期交貨訂單相關的下降所抵消。在我們運送相關工具之前,預付款將保留在遞延收入中。我只想提一下,我們確實希望這些存款中的大部分能夠在 2023 日曆年期間轉化為收入。

  • Looking at the revenue segment details for the March quarter. The percentage of systems revenue in memory was 32%, which is a decline from the prior quarter level of 50%. I would mention this is the lowest level of memory concentration for us in a decade. Within memory, the NAND segment represented 23% of our systems revenue, down from the December quarter level of 39%. And DRAM decreased sequentially, coming in at only 9% of systems revenue compared with 11% in the December quarter. With the current weakness in memory investments, we anticipate a further decline in both NAND and DRAM revenue in the June quarter.

    查看三月份季度的收入部分詳細信息。內存系統收入的百分比為 32%,低於上一季度 50% 的水平。我要提到的是,這是我們十年來最低水平的記憶集中度。在內存中,NAND 部分占我們系統收入的 23%,低於 12 月季度的 39%。 DRAM 環比下降,僅佔系統收入的 9%,而 12 月季度為 11%。由於當前內存投資疲軟,我們預計 6 月季度 NAND 和 DRAM 收入將進一步下降。

  • In foundry, conversely, we had a record dollar level of revenue in the March quarter, representing 46% of our systems revenue, higher than the percentage contribution in the December quarter, which was 31%. We had positive momentum in both leading and mature node devices. And I'm pleased with the progress we've made in this segment of the market. We are seeing particular concentration in the mature node investments this year.

    相反,在代工方面,我們在 3 月季度的收入達到創紀錄的美元水平,占我們系統收入的 46%,高於 12 月季度的 31%。我們在領先和成熟的節點設備方面都有積極的勢頭。我對我們在這一市場領域取得的進展感到滿意。我們看到今年對成熟節點的投資特別集中。

  • The Logic/Other segment had continued strength with a contribution of 22% of systems revenue in the March quarter compared with 19% in the prior quarter. Investments were from a broad array of logic IDMs in multiple regions.

    邏輯/其他部門繼續保持強勁勢頭,在 3 月季度貢獻了系統收入的 22%,而上一季度為 19%。投資來自多個地區的大量邏輯 IDM。

  • Let me now shift and discuss the regional contribution of our total revenue. Korea and China were at the top of the list, with each coming in at 22% of the total. The Korea region had a slightly higher concentration in the March quarter, up from 20% in the December quarter. China was down from 24% in the prior quarter, and the reduction was largely attributed to the U.S. government sales restrictions for certain Chinese domestic customers which were put in place in early October of 2022. Notably, in the United States, we had a record revenue from a dollar perspective in the March quarter, which represented 16% of our total revenues, an increase from the prior quarter level of 10%. And finally, Taiwan decreased to a concentration of 18% as compared with 19% in the December quarter.

    現在讓我轉移並討論我們總收入的區域貢獻。韓國和中國位居榜首,各佔總數的 22%。韓國地區在 3 月季度的集中度略高於 12 月季度的 20%。中國比上一季度的 24% 有所下降,下降的主要原因是美國政府於 2022 年 10 月初對某些中國國內客戶實施了銷售限制。值得注意的是,在美國,我們創下了歷史新高從美元的角度來看,三月份季度的收入占我們總收入的 16%,比上一季度的 10% 有所增加。最後,台灣的集中度從 12 月季度的 19% 下降到 18%。

  • Our Customer Support Business Group generated revenue in the March quarter, totaling approximately $1.6 billion, which was a decrease of 7% from the December quarter but 14% higher than the March quarter in calendar 2022. CSBG continues to be a resilient part of our business model, representing over 40% of our March quarter revenue.

    我們的客戶支持業務集團在 3 月季度產生的收入總額約為 16 億美元,比 12 月季度下降 7%,但比 2022 日曆年的 3 月季度高 14%。CSBG 仍然是我們業務中具有彈性的部分模型,占我們 3 月季度收入的 40% 以上。

  • We saw utilization levels decline at the memory customers, which negatively impacted both spares and in-service businesses, but Reliant Systems and upgrade revenues increased in the March quarter given the demand strength we're seeing in mature node devices.

    我們看到內存客戶的利用率水平下降,這對備件和在役業務都產生了負面影響,但鑑於我們在成熟節點設備中看到的需求強勁,Reliant Systems 和升級收入在 3 月季度有所增加。

  • The specialty technology market is performing better than overall WFE, and I expect this part of the business to continue to perform well during calendar year 2023.

    專業技術市場的表現好於整個 WFE,我預計這部分業務在 2023 年將繼續表現良好。

  • Let me now pivot to our gross margin performance. The March quarter came in at 44%, right at the midpoint of our guided range and down from 45.1% in the December quarter. The quarter-on-quarter decrease was tied to lower business volumes as well as customer and product mix. The company is focused on improvements in cost and efficiency to enhance profitability, which is aligned with our plan to expand gross margin by at least 1 percentage point exiting calendar year 2023.

    現在讓我談談我們的毛利率表現。 3 月季度為 44%,恰好處於我們指導範圍的中點,低於 12 月季度的 45.1%。環比下降與較低的業務量以及客戶和產品組合有關。公司專注於改善成本和效率以提高盈利能力,這與我們計劃在 2023 年結束後將毛利率至少提高 1 個百分點的計劃相一致。

  • Operating expenses were $608 million in the March quarter, down 11% from the prior quarter amount of $686 million. We executed on cost savings actions and have managed spending across the company while prioritizing investments in support of our customers' road maps. R&D expenses comprised nearly 70% of our operating expenses, which is a high point for the company.

    3 月季度的運營費用為 6.08 億美元,比上一季度的 6.86 億美元下降 11%。我們執行了成本節約行動並管理了整個公司的支出,同時優先投資以支持我們客戶的路線圖。研發費用占我們運營費用的近70%,這是公司的一個高點。

  • The March quarter operating margin was 28.3% and above the midpoint of guidance, mainly because of the cost-saving actions and expense management that we undertook.

    3 月季度營業利潤率為 28.3%,高於指導的中點,這主要是因為我們採取了成本節約措施和費用管理。

  • Our non-GAAP tax rate for the quarter was 13.1%, in line with our expectations. Looking into calendar 2023, we believe the tax rate will be in the low to mid-teens, with fluctuations expected quarter-to-quarter.

    我們本季度的非美國通用會計準則稅率為 13.1%,符合我們的預期。展望 2023 年,我們認為稅率將處於中低水平,預計每個季度都會出現波動。

  • Other income and expense came in for the quarter at $8 million in expense, lower by approximately $30 million from the prior quarter. The decrease in expense reflects increased interest income due to the higher cash balances as well as rising interest rates. OI&E will continue to be subject to market-related fluctuations that will cause some level of volatility each quarter.

    本季度的其他收入和支出為 800 萬美元,比上一季度減少約 3000 萬美元。費用的減少反映了由於較高的現金餘額以及利率上升而導致的利息收入增加。 OI&E 將繼續受到與市場相關的波動的影響,這將導致每個季度出現一定程度的波動。

  • On the capital return side of things in the March quarter, we allocated approximately $483 million to open market share repurchases. Additionally, we paid $234 million in dividends in the quarter. We continue to track towards our long-term capital return plans of returning 75% to 100% of our free cash flow.

    在第三季度的資本回報方面,我們分配了大約 4.83 億美元用於公開市場股票回購。此外,我們在本季度支付了 2.34 億美元的股息。我們繼續追踪我們的長期資本回報計劃,即返還 75% 至 100% 的自由現金流。

  • March quarter diluted earnings per share was $6.99, at the higher end of our guided range. Diluted share count was down to 135 million shares, on track with our expectations and, obviously, lower a little bit than the December quarter.

    3 月季度每股攤薄收益為 6.99 美元,處於我們指導範圍的較高端。稀釋後的股票數量減少到 1.35 億股,符合我們的預期,而且顯然比 12 月季度略低。

  • For the balance sheet, cash and short-term investments, including restricted cash, at the end of the March quarter totaled $5.6 billion up from $4.8 billion at the end of the December 2022 quarter. The increase was largely due to collections, offset by cash allocated to share buyback, dividends and capital expenditures.

    對於資產負債表,截至 3 月季度末,現金和短期投資(包括受限現金)總計 56 億美元,高於 2022 年 12 月季度末的 48 億美元。增長主要是由於收款,被分配給股票回購、股息和資本支出的現金所抵消。

  • Day sales outstanding were 77 days in the March quarter, an increase from 70 days in the December quarter. Inventory turns declined from the prior quarter to 1.9x, and we ended the March quarter with a slightly higher inventory dollar balance. We will continue to manage inventory balances during the calendar year.

    3 月季度的待售天數為 77 天,高於 12 月季度的 70 天。庫存周轉率較上一季度下降至 1.9 倍,我們以略高的庫存美元餘額結束了 3 月季度。我們將在日曆年內繼續管理庫存餘額。

  • Noncash expenses for the March quarter included approximately $74 million in equity compensation, $78 million in depreciation and $14 million for amortization.

    3 月季度的非現金支出包括約 7,400 萬美元的股權補償、7,800 萬美元的折舊和 1,400 萬美元的攤銷。

  • Capital expenditures for the March quarter were $119 million, down from the December quarter level by approximately $44 million. March quarter investments were mainly for our Malaysia factory, the Korea Technology Center and other product development activities.

    3 月季度的資本支出為 1.19 億美元,比 12 月季度的水平減少約 4400 萬美元。三月季度的投資主要用於我們的馬來西亞工廠、韓國技術中心和其他產品開發活動。

  • As we discussed in the January earnings call, we had a workforce reduction within the March quarter of approximately 1,400 people. Additionally, we reduced 700 temporary workers. We incurred a charge for the workforce actions in the March quarter of approximately $99 million, primarily reflecting severance-related payments. This charge was somewhat higher than our original estimate due to more impacted people with a somewhat higher seniority level.

    正如我們在一月份的財報電話會議上討論的那樣,我們在三月份的季度裁員了大約 1,400 人。此外,我們還減少了 700 名臨時工。我們在 3 月季度為員工行動支付了約 9900 萬美元的費用,主要反映了與遣散費相關的費用。由於受影響的人資歷較高,因此這項費用略高於我們最初的估計。

  • We also incurred other onetime charges in the quarter for product rationalization decisions as we prioritize technology investments within the company and for transformation costs related to projects to improve our systems and operations. We anticipate we'll incur onetime costs in the range of $250 million within calendar year 2023, which is inclusive of the $144 million that we expensed in the March quarter.

    我們在本季度還產生了其他一次性費用,用於產品合理化決策,因為我們優先考慮公司內部的技術投資,以及與項目相關的轉型成本,以改善我們的系統和運營。我們預計我們將在 2023 日曆年內產生 2.5 億美元的一次性成本,其中包括我們在 3 月季度支出的 1.44 億美元。

  • We ended the March quarter with approximately 18,700 regular full-time employees, which is a slight decrease in the prior quarter. Due to the timing of our quarter end and our restructuring actions, a significant amount of the head count reduction we undertook won't be reflected in the head count number until the June quarter.

    我們在 3 月季度結束時擁有約 18,700 名正式全職員工,與上一季度相比略有下降。由於我們季度末的時間安排和我們的重組行動,我們進行的大量裁員要到 6 月季度才會反映在員工人數中。

  • Now let's turn to the non-GAAP guidance for the June 2023 quarter. We are expecting revenue of $3.1 billion, plus or minus $300 million. The sequential decline reflects a soft memory environment and the normalization of back order systems that occurred in the March quarter; gross margin of 44%, plus or minus 1 percentage point; operating margins of 25.5%, plus or minus 1 percentage point; and finally, earnings per share of $5, plus or minus $0.75 based on a share count of approximately 134 million shares.

    現在讓我們轉向 2023 年 6 月季度的非 GAAP 指南。我們預計收入為 31 億美元,上下浮動 3 億美元。環比下降反映了軟內存環境和 3 月季度發生的延期交貨系統的正常化;毛利率44%,正負1個百分點;營業利潤率為 25.5%,上下浮動 1 個百分點;最後,每股收益為 5 美元,根據約 1.34 億股股票計算,上下浮動 0.75 美元。

  • So then let me summarize. 2023 is proving to be a challenging environment for our memory shipments, but I'm pleased with the progress we're accomplishing against this challenging backdrop. We continue to make progress on growing our technology leadership and focusing on our operational efficiencies. We will emerge from this memory-led downturn a stronger, better positioned, more efficient company.

    那麼讓我總結一下。事實證明,2023 年對我們的內存出貨量來說是一個充滿挑戰的環境,但我很高興我們在這個充滿挑戰的背景下取得的進展。我們繼續在提高技術領先地位和專注於運營效率方面取得進展。我們將從這場以內存為主導的低迷中脫穎而出,成為一家更強大、定位更優、效率更高的公司。

  • In closing, I'll just mention one more thing, that as we look at the profile of WFE spending this year, it now appears to be a little bit second half weighted.

    最後,我再提一件事,當我們查看今年 WFE 支出的概況時,它現在似乎有點偏重於下半年。

  • Operator, that concludes our prepared remarks. Tim and I would now like to open up the call for questions.

    接線員,我們準備好的發言到此結束。蒂姆和我現在想開始提問。

  • Operator

    Operator

  • (Operator Instructions) The first question will come from C.J. News with Evercore.

    (操作員說明)第一個問題將來自 Evercore 的 C.J. News。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • I guess the first question would be on deferred revenues. Exiting last quarter, I think you talked about trying to get to $1.5 billion, and then we'd normalize there. Whereas on this call, you said you expected to revenue the majority of these deposits in calendar '23. So can you help us understand the moving parts there? And what kind of contribution in the June quarter guide will come from a deferred revenue line?

    我想第一個問題是遞延收入。退出上個季度,我想你談到試圖達到 15 億美元,然後我們會在那裡正常化。而在這次電話會議上,您表示您希望在 23 年的日曆中獲得這些存款的大部分收入。那麼你能幫助我們了解那裡的活動部件嗎?遞延收入線將在 6 月季度指南中做出什麼樣的貢獻?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, C.J., I got a little bit wrong last quarter is what I would tell you. The cash and advanced deposits from some of our newer customers ended up being a little bit more than we expected. Offsetting that, to a certain extent, was the decline in back orders. So we got that part exactly right. But what I didn't give when I told you $1.5 billion would be the normalized level was this cash and advanced deposits. I think that is going to be around for a little while. And like I said, I think the majority of that will revenue during calendar year '23, clearly in the second half. I don't think a whole lot of it shows up in the June quarter, if any of it.

    是的,C.J.,我要告訴你的是上個季度我有點不對勁。我們一些新客戶的現金和預付存款最終比我們預期的要多一點。在一定程度上抵消了延期交貨訂單的減少。所以我們完全正確地完成了那部分。但是當我告訴你 15 億美元是正常水平時,我沒有給出的是現金和預付存款。我認為這會持續一段時間。就像我說的那樣,我認為其中大部分將在 23 日曆年(顯然是下半年)期間實現收入。我認為在 6 月季度不會出現很多,如果有的話。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • Okay. Very helpful. And then I guess to follow up on your last comment around WFE being a little bit more second half weighted, that seems like a seismic shift. I guess, is that just the magnitude of the cuts that you've seen kind of in the first half? Or has anything else changed? And I guess, as you think about that, if you do have the benefit of deferred revenues in the second half and WFE higher, it certainly would suggest that second half total revenues should be nicely higher than the first half.

    好的。很有幫助。然後我想跟進你關於 WFE 的最後評論,下半場權重稍微高一點,這似乎是一個巨大的轉變。我想,這只是您在上半年看到的削減幅度嗎?或者有沒有其他的改變?我想,正如你所想的那樣,如果你確實在下半年獲得遞延收入和 WFE 更高的好處,那肯定會表明下半年的總收入應該比上半年高很多。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. C.J., I mean I think the commentary on second half being a little bit higher, I think, has something to do also with the effect that we talked about incremental weakness. Clearly, our June quarter has been weaker than what we probably thought as we came into the year, and so some of that shows up in the back half. I think it is simply a case of customers continuing to make pretty aggressive adjustments, both in utilization of tools as well as overall spending. That's what we're seeing here in the first half. We also talked about the fact that with some clarification on the China restrictions, there would be additional shipments that occur through China in the second half that weren't originally anticipated. So that does help us with WFE in the second half as well.

    是的。 C.J.,我的意思是我認為對下半年的評論有點高,我認為,這也與我們談論的增量弱點的影響有關。顯然,我們的 6 月季度比我們進入今年時可能認為的要弱,因此其中一些會出現在下半年。我認為這只是客戶繼續做出相當積極的調整的一個例子,無論是在工具的使用還是在整體支出方面。這就是我們在上半年看到的情況。我們還談到了這樣一個事實,即隨著對中國限制的一些澄清,下半年將有更多的貨物通過中國發生,這是最初沒有預料到的。所以這對我們下半年的 WFE 也有幫助。

  • Operator

    Operator

  • And the next question will come from Timothy Arcuri with UBS.

    下一個問題將來自瑞銀的 Timothy Arcuri。

  • Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

    Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

  • Tim, if I take your comments and I combine them with Applied and ASML's earlier today, obviously, there's this huge explosion in demand coming from China lagging-edge. How sustainable do you think this is? It sort of feels kind of like, given the restrictions, they're just taking what they can get, which is now they're pouring all their money into lagging-edge. So does that worry you that maybe that's not sustainable? Or is there something going on there where there's real demand backing that?

    蒂姆,如果我接受你的評論,並將它們與今天早些時候的 Applied 和 ASML 的評論結合起來,顯然,中國落後的需求出現了巨大的爆炸式增長。您認為這有多可持續?感覺有點像,考慮到限制,他們只是拿走他們能得到的,現在他們把所有的錢都投入到落後的邊緣。那麼你是否擔心這可能是不可持續的?還是那裡有真正的需求支持?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, I believe that there is real demand. I mean every region, I think, is trying to build up a regional capability to manufacture all types of semiconductors. And as you just said, I mean, in China, this is one area that they have the ability to create that capability and also they have the demand to consume it as well. And so I think from that standpoint, it's good demand for us and for others in the industry. But I think in a bigger sense, this regional, call it, self-sufficiency, regional resilience that's being built, I think, is going to have a long-term impact on spending in the industry.

    好吧,我相信有真正的需求。我的意思是,我認為每個地區都在努力建立區域能力來製造所有類型的半導體。正如你剛才所說,我的意思是,在中國,這是一個他們有能力創造這種能力的領域,而且他們也有消費它的需求。所以我認為從這個角度來看,這對我們和業內其他人來說都是很好的需求。但我認為,從更大的意義上講,這種區域性,所謂的自給自足,正在建立的區域彈性,我認為將對行業支出產生長期影響。

  • And so we're not trying to sort of play the game of is it or is it not sustainable. We're talking to our customers. And in some cases, these are new projects that are coming up. We do our own assessment of whether or not they will be able to invest if they have the technology. And I think that with not only U.S. chipset but recent news on the European chipset, I think that this is something that is going to have a positive impact on spending to the industry across both leading-edge and these trailing-edge specialty technology segments for quite some time.

    因此,我們並不是要玩這種遊戲是不是可持續的。我們正在與我們的客戶交談。在某些情況下,這些是即將推出的新項目。如果他們擁有這項技術,我們會自行評估他們是否能夠進行投資。而且我認為,不僅是美國芯片組,還有關於歐洲芯片組的最新消息,我認為這將對前沿和這些後沿專業技術領域的行業支出產生積極影響相當一段時間。

  • Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

    Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

  • And then I guess, Doug or Tim, can you just provide more color? You said that there's been some clarification of the export rules. Can you give an example of what can ship now that was assumed to not be able to ship in the past?

    然後我想,Doug 或 Tim,你們能提供更多顏色嗎?你說已經對出口規則進行了一些澄清。您能否舉一個例子,說明過去被認為不能發貨的現在可以發貨的東西?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • It's exactly the same as what was issued in the October 7 rules in terms of technology limits. We want to be very clear, Lam's staying compliant with the restrictions. And so at the time we had given the guidance for the year, we said $2 billion to $2.5 billion of impact. We knew we were looking for clarification on how to determine whether some shipments that were in there could be made. That's why there was a range. And so now with that clarification on how you determine what can and can't ship, we've added those tools back into our forecast for this year. But if you look at the rules that are there, it's exactly what was printed on October 7.

    這與10月7日發布的技術限制規則完全相同。我們想非常清楚,林一直遵守這些限制。因此,在我們給出當年的指導時,我們說產生了 20 億至 25 億美元的影響。我們知道我們正在尋求有關如何確定是否可以運送其中一些貨物的說明。這就是為什麼有一個範圍。因此,現在通過澄清您如何確定什麼可以發貨和不能發貨,我們已將這些工具重新添加到我們今年的預測中。但如果你看一下那裡的規則,它正是 10 月 7 日印刷的。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Tim, just to put some numbers around it, it's a few hundred million dollars, I think, as we look at revenue for the year for Lam.

    是的,蒂姆,只是為了給出一些數字,我認為這是幾億美元,因為我們看的是 Lam 今年的收入。

  • Operator

    Operator

  • And our next question will come from Krish Sankar with TD Cowen.

    我們的下一個問題將來自 Krish Sankar 和 TD Cowen。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • The first one is just a follow-up on the China question. Doug, just like tying, like putting all the comments together, with back half-loaded WFE, some of the relaxation of China constraints and strong demand from lagging in China, is it fair to assume June quarter is a trough quarter for you from a revenue standpoint and, heading in the back half, your gross margin should improve as you start shipping more China? And then I have a follow-up.

    第一個只是關於中國問題的後續行動。道格,就像捆綁一樣,就像把所有的評論放在一起,WFE 後半載,中國限制的一些放鬆和中國滯後的強勁需求,假設 6 月季度對你來說是一個低谷季度是否公平從收入的角度來看,在下半年,隨著您開始向更多中國發貨,您的毛利率應該會提高嗎?然後我有一個後續行動。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes. I mean, Krish, what I said is it's a slightly second half-weighted WFE year. And by the way, I'd just clarify if there's any confusion about the deferred cash and advanced deposits that are sitting there, that's in the WFE number. So that's part of the second half weighting as well, just so everybody's clear about that. .

    是的。我的意思是,Krish,我說的是這是下半年加權 WFE 年。順便說一句,我只是澄清一下,如果有任何關於那裡的遞延現金和預付存款的混淆,那是在 WFE 數字中。所以這也是下半場權重的一部分,所以每個人都清楚這一點。 .

  • And then, Krish, yes, when I look at what we're doing with the cost structure and how we're sort of pivoting where we do what we do, I've been talking, I think, this second quarter about a view that we should exit the year at least a percentage point gross margin higher than where we are. I still believe that is the trajectory that we're on.

    然後,Krish,是的,當我查看我們在成本結構方面所做的事情以及我們如何在我們所做的事情上進行調整時,我一直在談論,我認為,這個第二季度關於一個觀點我們應該在今年結束時至少比我們現在的毛利率高一個百分點。我仍然相信這就是我們所走的軌跡。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • Got it. Super helpful. And then just a technology question for Tim. You had the slide on gate-all-around and you spoke about the opportunity in ALD and ALE. I'm kind of curious because ASM International is a leader in ALD, why would customers shift to someone else versus the incumbent just because of gate-all-around? Are there any gate-all-around specific technology issues that your ALD is addressing that the incumbent is not?

    知道了。超級有幫助。然後只是蒂姆的技術問題。你有關於全環門的幻燈片,你談到了 ALD 和 ALE 的機會。我有點好奇,因為 ASM International 是 ALD 領域的領導者,為什麼客戶會僅僅因為 gate-all-around 而轉向其他公司而不是現任公司?是否存在您的 ALD 正在解決而現任者沒有解決的任何全面的特定技術問題?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Thanks. It's a good question because, I guess, the point is we have a very strong position in ALD across a number of different types of films. And what I tried to speak to is there are specifically new types of challenges to introduce. And in the end, customers select tools based on the film performance, the deposition capabilities, the tool productivity, reliability and manufacturing, lots of different factors. And I think these are areas that, just over the years, Lam has excelled, and I think we can excel in ALD as well.

    謝謝。這是一個很好的問題,因為我想,關鍵是我們在 ALD 領域擁有非常強大的地位,橫跨許多不同類型的電影。我想說的是,有一些新的挑戰需要引入。最後,客戶根據薄膜性能、沉積能力、工俱生產率、可靠性和製造等許多不同因素來選擇工具。我認為這些是 Lam 多年來擅長的領域,我認為我們也可以在 ALD 方面表現出色。

  • Operator

    Operator

  • And the next question will come from Joe Moore with Morgan Stanley.

    下一個問題將來自摩根士丹利的喬摩爾。

  • Joseph Lawrence Moore - Executive Director

    Joseph Lawrence Moore - Executive Director

  • I think you mentioned you saw some impact from utilization on the CSBG business in the March quarter. Can you talk about what that looks like for the next couple of quarters? Are you seeing impact from lower memory utilization within those numbers? And then as a follow-up, can you give us some sense of as Reliant keeps getting presumably bigger, how much of what's in CSBG is actually kind of more on the Reliant tool side?

    我想你提到你看到了 3 月份季度 CSBG 業務利用率的一些影響。你能談談接下來幾個季度的情況嗎?您是否看到這些數字中較低內存利用率的影響?然後作為後續行動,您能否給我們一些感覺,因為 Reliant 可能會變得越來越大,CSBG 中有多少實際上是在 Reliant 工具方面?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. Let me take a crack at the utilization. I mean, obviously, you've heard a lot within the memory industry about utilization cuts and even some throughout the different segments of the market. That clearly hits our spares and services business as customers look to save money in the near term, the idle tools, they also burn off some of the inventory they might have built up over the last couple of years. And so that's clearly showing up in CSBG. Eventually, that runs its course, and our expectation is that, especially on the memory side, you'll start to see CSBG revenues improve likely long before you start to see us talk about much higher WFE. And that's because customers will start to bring those tools back online, and you'll see CSBG revenues increasing.

    是的。讓我來了解一下它的使用情況。我的意思是,很明顯,你在內存行業聽到了很多關於利用率下降的消息,甚至在整個市場的不同領域也聽到了一些。這顯然打擊了我們的備件和服務業務,因為客戶希望在短期內省錢,閒置工具,他們還燒掉了過去幾年可能積累的一些庫存。所以這清楚地出現在 CSBG 中。最終,一切都會順其自然,我們的期望是,尤其是在內存方面,在您開始看到我們談論更高的 WFE 之前很久,您就會開始看到 CSBG 收入可能有所提高。那是因為客戶將開始將這些工具重新上線,您會看到 CSBG 收入增加。

  • You'll then also see customers start to do some of the technology upgrades that they've been kind of holding off on doing in the installed base that will also show up in our CSBG revenue. And then finally, we will ultimately see increases in WFE for capacity additions. And so I think that's where we'll see continuous improvement in CSBG. We're not going to put a time frame on it, but it is causing us to be a bit low now. And I think we'll get back to that point when we say CSBG is a business, we think, grows kind of year-on-year, just not this year with utilization cuts.

    然後,您還會看到客戶開始進行一些他們一直推遲在已安裝基礎上進行的技術升級,這些升級也將出現在我們的 CSBG 收入中。最後,我們最終將看到 WFE 增加容量。所以我認為這就是我們將看到 CSBG 持續改進的地方。我們不打算設定時間框架,但它導致我們現在有點低。我認為當我們說 CSBG 是一項業務時,我們會回到這一點,我們認為,這種業務同比增長,而不是今年的利用率削減。

  • Joseph Lawrence Moore - Executive Director

    Joseph Lawrence Moore - Executive Director

  • Great. And then in terms of the Reliant release qualitatively, how much of the business is from Reliant now?

    偉大的。然後就 Reliant 發布定性而言,現在有多少業務來自 Reliant?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Joe, I'll chime in on that one. I think I started saying last quarter that the 2 biggest components of CSBG are now spares and Reliant, and that continues to be true in the most recently reported quarter. Reliant is doing extremely well with the more mature specialty node investments that we've been talking about, so that's benefiting. And the utilization stuff that Tim talked about is a little bit of a headwind for spares. But they're still the 2 largest components of CSBG.

    是的,喬,我會插話的。我想我上個季度開始說 CSBG 的兩個最大組成部分現在是備件和 Reliant,並且在最近報告的季度中仍然如此。 Reliant 在我們一直在談論的更成熟的專業節點投資方面做得非常好,因此受益匪淺。蒂姆談到的利用率問題對備件來說有點不利。但它們仍然是 CSBG 的兩個最大組成部分。

  • Operator

    Operator

  • And the next question will come from Harlan Sur with JPMorgan.

    下一個問題將來自摩根大通的 Harlan Sur。

  • Harlan Sur - Senior Analyst

    Harlan Sur - Senior Analyst

  • Your North American business again drove strong growth, right? Part of it is your share gains. You've got the 1 big U.S. customer that wasn't contributing to WFE intensity for 6 years because they were stuck on 1 node. But now they're back on track, right, trying to drive 5 node migrations in 4 years, so strongly contributing to foundry and logic, WFE intensity. How much of the growth is share gains versus just this customer back driving WFE intensity?

    您的北美業務再次推動強勁增長,對嗎?其中一部分是您的份額收益。你有 1 個美國大客戶 6 年沒有對 WFE 強度做出貢獻,因為他們被困在 1 個節點上。但現在他們又回到了正軌,對吧,試圖在 4 年內推動 5 個節點的遷移,從而對代工和邏輯、WFE 強度做出如此巨大的貢獻。增長中有多少是份額增加,而僅僅是這個客戶回來推動 WFE 強度?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. Harlan, we're obviously seeing a combination of both, I mean the share gains, and it's not isolated to any 1 customer. What I dedicated a lot of my prepared remarks to was the progress we're making expanding our SAM and our product portfolio, primarily focused on foundry/logic. We recognize and we've said that this is an area that we've been under-indexed, which means that it's an area of significant growth opportunity for the company. And you see just a number of new products we've introduced, whether it be through the EUV inflection or it's the gate-all-around inflection. And so it's SAM expansion driving our growth and it's also share gains within that expanded SAM. And it's across all foundry/logic customers that have some element of both.

    是的。 Harlan,我們顯然看到了兩者的結合,我的意思是份額收益,而且它並不是孤立於任何一個客戶。我在準備好的發言中專門討論了我們在擴展 SAM 和產品組合方面取得的進展,主要集中在代工/邏輯上。我們認識到並且我們已經說過這是一個我們被低估的領域,這意味著它是公司重要增長機會的領域。您只看到我們推出的一些新產品,無論是通過 EUV 變形還是全柵變形。因此,SAM 的擴張推動了我們的增長,它也在擴大的 SAM 中分享收益。所有代工廠/邏輯客戶都具有兩者的某些元素。

  • Harlan Sur - Senior Analyst

    Harlan Sur - Senior Analyst

  • I appreciate that. And then, Tim, you also mentioned in your prepared remarks -- I'm sorry I missed some of that -- but you announced some new wins with your dry photoresist process module system solution. Can you just talk more about that? And when do you expect customer adoption curve to start to drive some meaningful revenues for the team here?

    我很感激。然後,蒂姆,你在準備好的發言中也提到了——很抱歉我錯過了其中的一些內容——但你宣布了乾式光刻膠工藝模塊系統解決方案的一些新勝利。你能多談談嗎?您預計客戶採用曲線什麼時候開始為這裡的團隊帶來一些有意義的收入?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. Well, yes, what I announced -- sorry, if you missed it -- last year, we had announced 1 memory customer who adopted some elements of the dry resist technology solution. In March, a second memory customer did as well. And then more recently, a large foundry/logic customer has chosen to adopt the resist deposition dry development as well as the underlayer processes. And so that's a really nice expansion across both memory, which is obviously DRAM using EUV memory and foundry/logic. And I think it sets us up well for continued momentum.

    是的。好吧,是的,我宣布的——抱歉,如果你錯過了——去年,我們宣布了一位內存客戶,他們採用了乾式抗蝕劑技術解決方案的某些元素。 3 月,第二個內存客戶也這樣做了。最近,一家大型代工廠/邏輯客戶選擇採用抗蝕劑沉積干法顯影以及底層工藝。因此,這對兩種內存來說都是一個非常好的擴展,這顯然是使用 EUV 內存和代工/邏輯的 DRAM。我認為這為我們的持續發展奠定了良好的基礎。

  • Look, everybody knows that EUV adoption is growing. And as I commented, it's becoming more challenging as people look for better productivity, they look for better technology capability within the resist. And I think that these are trends that are setting up well for us. I mentioned we'll be revenue-ing some tools starting this year, so that's a very nice milestone for us. And then I just would point you back, we had sized this at approximately $1.5 billion in revenue over a 5-year time frame. And I think as adoption continues to grow, the size of this opportunity can continue to grow for Lam.

    看,每個人都知道 EUV 的採用正在增長。正如我評論的那樣,隨著人們尋求更高的生產力,他們在抗蝕劑中尋找更好的技術能力,它變得越來越具有挑戰性。我認為這些趨勢對我們來說很好。我提到我們將從今年開始對一些工具進行收入,所以這對我們來說是一個非常好的里程碑。然後我會指出你回來,我們在 5 年的時間框架內估計收入約為 15 億美元。而且我認為隨著採用率的持續增長,Lam 的這個機會的規模可以繼續增長。

  • Operator

    Operator

  • And the next question comes from Vivek Arya with Bank of America Securities.

    下一個問題來自美國銀行證券公司的 Vivek Arya。

  • Vivek Arya - MD in Equity Research & Research Analyst

    Vivek Arya - MD in Equity Research & Research Analyst

  • The first one on just the memory market. Is second half better or worse than the first half for you in terms of your memory shipments? I'm just trying to gauge whether June is also kind of a bottom for memory. Or is there more to fall on, on the memory side? And I think related to it, one of your customers have said that, for them, their WFE and memory could be down even in the next fiscal year. And I know it's a fiscal and not a calendar year view, but do you think that's an industry-wide view just in terms of how customers are thinking about '24 WFE on the memory side? So just kind of a near-term memory questions.

    內存市場上的第一個。就你們的內存出貨量而言,下半年比上半年好還是差?我只是想判斷 6 月是否也是記憶的底部。還是在內存方面還有更多值得關注的地方?我認為與此相關的是,您的一位客戶表示,對他們來說,即使在下一個財政年度,他們的 WFE 和內存也可能會下降。而且我知道這是財政而非日曆年的觀點,但你認為就客戶如何看待內存方面的 '24 WFE 而言,這是整個行業的觀點嗎?所以只是一種近期記憶問題。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes. Vivek, I don't want to get into which segment is doing what in the first or second half. I mean memory is at a pretty low level right now. And we did say in the prepared remarks June is even lower than March, and March was at a 10-year low in terms of our shipment and revenue anyway into that space. Hard to see a whole lot lower than what we're seeing right now. I don't want to get into parsing the little bit of growth in the second half, where it comes from, but memory is at a pretty low point right now, I would say.

    是的。 Vivek,我不想進入哪個部分在上半場或下半場做了什麼。我的意思是內存現在處於相當低的水平。我們在準備好的評論中確實說過,6 月甚至低於 3 月,而 3 月在我們進入該領域的出貨量和收入方面處於 10 年低點。很難看到比我們現在看到的低很多。我不想分析下半年的一點點增長,它是從哪裡來的,但我想說,現在記憶力處於相當低的水平。

  • Vivek Arya - MD in Equity Research & Research Analyst

    Vivek Arya - MD in Equity Research & Research Analyst

  • And on the '24 WFE? Again, not trying to get a '24 view specifically, but I think one of your customers has been public about reducing memory WFE even in their fiscal '24. Do you think that's a customer-specific view? Or is that an industry-wide view that memory WFE could stay under pressure next year? Or is it too early to make that assertion?

    在'24 WFE 上?再次重申,我並不是要具體了解 24 世紀的觀點,但我認為您的一位客戶甚至在他們的 24 財年中就已經公開表示要減少內存 WFE。您認為這是針對特定客戶的觀點嗎?還是整個行業都認為內存 WFE 明年可能會面臨壓力?或者現在下結論還為時過早?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I guess I could say that in 2022, you might recall we gave you the 2023 outlook about a quarter early. I think 3 quarters early might be a little early for '24. So I don't think we're going to give WFE for '24. But what I'd point to is that, as Doug just said, we signaled in the September quarter call, that '23 would be down in WFE. I think we were the first to do so. That's because we saw this decline in memory. Already, our revenue from December '22 to the June guide we just gave, down 40%. So we're well into this cycle.

    是的。我想我可以說到 2022 年,您可能還記得我們提前大約四分之一給了您 2023 年的展望。我認為提前 3 個季度對於 24 年來說可能有點早。所以我認為我們不會為 '24 提供 WFE。但我要指出的是,正如道格剛才所說,我們在 9 月的季度電話會議中發出信號,即 WFE 的 23 年將下降。我認為我們是第一個這樣做的。那是因為我們看到了這種內存下降。從 22 年 12 月到我們剛剛給出的 6 月指南,我們的收入已經下降了 40%。所以我們很好地進入了這個循環。

  • And just as I laid out, I think we're going to see, as we move through the remainder of this year and into next year, utilizations eventually come back, technology conversions start to occur and then, finally, WFE starts to tick back up. And the great thing about the cost cuts that Doug talked about is we've put ourselves in a position that we don't feel we have to be able to call the exact quarter when that's going to happen. But we think we're well into it and operating the company well at these levels and with some upside to come.

    正如我所說,我認為我們會看到,隨著今年剩餘時間和明年的推進,利用率最終會回升,技術轉換開始發生,最後,WFE 開始回落向上。 Doug 談到的削減成本的好處在於,我們已經讓自己處於一個位置,我們認為我們不必能夠在這將要發生的時候打電話給確切的季度。但我們認為我們已經很好地融入其中,並在這些水平上很好地經營公司,並且有一些上行空間。

  • Vivek Arya - MD in Equity Research & Research Analyst

    Vivek Arya - MD in Equity Research & Research Analyst

  • And just a quick follow-up, Doug, maybe one for you. On the OpEx side, is this sort of reflecting all the OpEx actions? Or do you think that in September or December, OpEx could decline further? I mean the last time you guys were at this OpEx level, you were able to report revenues that were significantly higher. So kind of just 2 parts, is this kind of the bottom in OpEx and then should we see a lot more leverage, right, as the end markets start to grow.

    只是快速跟進,道格,也許是給你的。在 OpEx 方面,這是否反映了所有 OpEx 行動?或者您認為在 9 月或 12 月,OpEx 會進一步下降嗎?我的意思是,上一次你們處於這個 OpEx 水平時,你們能夠報告明顯更高的收入。所以只有 2 個部分,這是 OpEx 的底部,然後我們應該看到更多的槓桿作用,對吧,因為終端市場開始增長。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Vivek, I think the majority of the cost actions we've taken, certainly, I talked about $99 million of head count-related severance, that's largely complete. So I think a lot of it is already kind of in the run rate. Certainly, if you look at where we're at in June, it's down again quite a good amount.

    是的,Vivek,我認為我們採取的大部分成本行動,當然,我談到了 9900 萬美元的與裁員相關的遣散費,這基本上已經完成。所以我認為其中很多已經在運行率中了。當然,如果你看看我們 6 月份的情況,它又下降了很多。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • So Vivek, I'd also just add to that. I spent a lot of time talking about the work we're doing to broaden our product portfolio and expand really Lam's strength beyond memory into some of the fast-growing inflections that are occurring elsewhere. I think this quarter it was nearly 70% of our OpEx spend in R&D. And so we're absolutely committed to supporting technology road maps to grow this company. And so we're watching that.

    Vivek,我還要補充一下。我花了很多時間談論我們正在做的工作,以擴大我們的產品組合,並將 Lam 的實力真正擴展到記憶之外,進入其他地方正在發生的一些快速增長的變化。我認為本季度我們將近 70% 的運營支出用於研發。因此,我們絕對致力於支持技術路線圖以發展這家公司。所以我們正在觀察。

  • Obviously, if we see further deterioration, I think you can count on us to manage this business prudently. But at this point, we want to also be prepared for when the market does come back, and we know it will come back, that we are prepared to support our customers with the engineering capabilities and the new products and all the technologies that they're going to want to buy at that time. So we're really working that balance. And that's what you see in our spending levels right now.

    顯然,如果我們看到進一步惡化,我想你可以指望我們謹慎地管理這項業務。但在這一點上,我們也想為市場真正復甦做好準備,我們知道它會回來,我們準備好為我們的客戶提供工程能力、新產品和所有技術支持。那時你會想買。所以我們真的在努力平衡。這就是你現在在我們的支出水平上看到的。

  • Operator

    Operator

  • And moving on to Stacy Rasgon with Bernstein Research.

    並繼續與 Bernstein Research 的 Stacy Rasgon 合作。

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • For the first one, I want to go back to these new customers that are in the deferred balance. I guess, are they all Chinese? And can you give us a view of which end markets that, that additional deferred revenue is in? Like, is it all foundry and not memory? Because I know you got one of the big Chinese memory prices on the entity list. I'm assuming you're not able to sell anything to them. So just a little bit of color on, is it all Chinese or not? And what are the end markets that are actually driving it?

    對於第一個,我想回到遞延餘額中的這些新客戶。我想,他們都是中國人嗎?你能告訴我們額外的遞延收入在哪些終端市場嗎?就像,這都是代工而不是內存嗎?因為我知道你在實體清單上拿到了中國內存價格大戶之一。我假設你無法向他們出售任何東西。所以只是一點點顏色,是不是都是中國人?真正推動它的終端市場是什麼?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Stacy, the end markets are primarily foundry and logic, the mature node foundry and logic. And I will acknowledge it's got a decent Chinese footprint to it.

    是的,Stacy,終端市場主要是代工和邏輯,成熟的節點代工和邏輯。我會承認它有一個不錯的中國足跡。

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • Got it. Got it. And so I guess it's $500 million, right, because I mean the deferred is like $2 billion, and you said the $500 million reduction that you expected to be there before is actually there, and this was offsetting, so I guess about $500 million. Is this coming back in the back half and this China revenue shipping? I'm trying to gauge, are they the same things? Is the incremental China revenue that you've got, because you can ship more, because of the sanctions, is that the same as the incremental balances in the deferred? And as that kind of adds to the back half, are those things -- I just want to make sure I'm not double-counting anything.

    知道了。知道了。所以我猜是 5 億美元,對,因為我的意思是遞延大約 20 億美元,你說你之前預計會減少的 5 億美元實際上已經存在,這是抵消的,所以我猜大約是 5 億美元。這會在後半段回歸嗎?這個中國收入航運?我想衡量一下,它們是一樣的東西嗎?你獲得的增量中國收入,因為你可以運送更多,因為製裁,與遞延的增量餘額相同嗎?當這種情況增加到後半部分時,那些東西——我只是想確保我沒有重複計算任何東西。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Stacy, there's a very large overlap is what I would say. And I said the majority of that cash and advanced payments will revenue in the second half. I didn't say all, I said the majority. And then the other thing I said a moment ago is the incremental clarification we got from China was a few hundred million dollars. So you've got to take all that stuff.

    是的,Stacy,我想說的是有很大的重疊。我說過大部分現金和預付款將在下半年收入。我沒有說全部,我說的是大部分。然後我剛才說的另一件事是我們從中國得到的增量澄清是幾億美元。所以你必須拿走所有這些東西。

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • Got it. Okay. That's super helpful. Maybe if I could squeeze in just one more really quick. I know you said memory is down again sequentially, but there can't be very much memory in there at all, right? I mean your foundry plus logic in this quarter was almost 1.6%. And so you can't be guiding equipment revenues much different than that. I mean are we just literally talking memory kind of scraping the bottom of the barrel in June quarter at this point? I mean I know we don't know how long it stays there, but presumably, it can't get much lower than it's going to be in June.

    知道了。好的。這非常有幫助。也許如果我能真正快速地再擠進一個。我知道你說內存又依次下降,但那裡根本不可能有太多內存,對吧?我的意思是本季度你們的代工廠加上邏輯幾乎是 1.6%。因此,您不能指導設備收入與此有很大不同。我的意思是,此時我們是否只是在談論記憶在 6 月季度觸底?我的意思是我知道我們不知道它會在那裡停留多久,但大概不會比 6 月份低很多。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Stacy, I told you in March it was at a decade-long low point for us, and it's down again in June. Frankly, my personal thing, it's hard to see it down much more than we're describing in June, frankly. It's at a very low level.

    是的,斯泰西,我在 3 月份告訴過你,這對我們來說是十年來的最低點,並且在 6 月份再次下降。坦率地說,就我個人而言,坦率地說,很難看到它比我們在 6 月份所描述的要低得多。它處於非常低的水平。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Stacy, I was just going to add that the fact that, in many cases, we see customers even delaying technology upgrades in order to, one, not necessarily make the investment but also not add further bits into the market, that's a pretty rare case. I mean technology investments usually proceed because that's the path to lower cost and better capabilities. So I would agree with Doug's comment, it feels like we're getting about as low as -- and I made the comment, below maintenance levels, I think, here. So that's our view on memory.

    Stacy,我只是想補充一點,在很多情況下,我們看到客戶甚至推遲技術升級,以便,一個,不一定進行投資,但也不會向市場添加更多內容,這是一種非常罕見的情況.我的意思是技術投資通常會繼續進行,因為這是降低成本和提高能力的途徑。所以我同意 Doug 的評論,感覺我們已經達到了——我在這裡發表了評論,低於維護水平。這就是我們對內存的看法。

  • Operator

    Operator

  • And the next question comes from Toshiya Hari with Goldman Sachs.

    下一個問題來自高盛的 Toshiya Hari。

  • Toshiya Hari - MD

    Toshiya Hari - MD

  • As a follow-up to the last question, I'm curious how you're thinking about normalized levels of spending across the memory industry. When we look at your business, I think you did close to $7 billion in memory systems revenue in calendar '22 and, given how things are going now, I don't know, maybe roughly $3 billion this year, maybe a little bit below that. Assuming, hypothetically, if you're customers are done shipping out of inventory exiting this year and DRAM bits are growing kind of in the mid-teens and NAND bits are growing kind of in the mid-20s, how significant, how big would your memory business be in that sort of state? Is it kind of the midpoint, between $7 billion and $3 billion? Or is it higher? How should we think about that?

    作為最後一個問題的後續問題,我很好奇您如何看待整個內存行業的標準化支出水平。當我們審視您的業務時,我認為您在日曆 '22 中的內存系統收入接近 70 億美元,並且考慮到現在的情況,我不知道,今年可能約為 30 億美元,可能略低於那。假設,假設,如果您的客戶已經完成今年庫存的發貨,並且 DRAM 位在十幾歲左右增長,NAND 位在二十多歲左右增長,那麼您的重要性有多大?內存業務處於那種狀態?它是在 70 億美元到 30 億美元之間的中點嗎?還是更高?我們應該如何考慮?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes. I'll give it a quick response, Toshiya. It's hard for me to envision it being lower than it is this year. I mean it's down 50% memory in total, right? Tim, I think, had that in his scripted remarks. That's down a lot. I can't ever remember it being down that much on a year-over-year basis. You might say, "Hey, last year might have been a little too high or somewhat too high." Probably true. I'm not going to get into a precise number, but it's certainly higher than it is this year, maybe not as high as it was last year, though.

    是的。我會盡快回复的,Toshiya。我很難想像它會比今年低。我的意思是它總共減少了 50% 的內存,對嗎?我想,蒂姆在他的照本宣科的發言中已經表達了這一點。那下降了很多。我永遠不記得它同比下降了那麼多。你可能會說,“嘿,去年可能有點太高或有點太高了。”可能是真的。我不打算給出一個精確的數字,但它肯定比今年高,不過可能沒有去年那麼高。

  • Toshiya Hari - MD

    Toshiya Hari - MD

  • I appreciate that. As my follow-up, Doug, just on gross margins, I appreciate the calendar year-end target of 45%. Curious how you're thinking about gross margins beyond that. A couple of years ago, you guys were doing 47%; in some quarters, close to 48%. You're introducing pretty differentiated product between EUV patterning and dry resist. And I know those are pretty small businesses still, but you're putting out these products. You've got Malaysia, which hopefully, with the recovery, continues to ramp. Is there a path to, call it, 47-ish percent in calendar '24? Or are there headwinds that we should be aware of?

    我很感激。作為我的後續行動,道格,就毛利率而言,我很欣賞 45% 的日曆年終目標。很好奇你是如何考慮除此之外的毛利率的。幾年前,你們做的是 47%;在某些方面,接近 48%。您在 EUV 圖案化和乾式抗蝕劑之間引入了非常差異化的產品。我知道那些仍然是非常小的企業,但你正在推出這些產品。你有馬來西亞,希望隨著復甦,它會繼續增長。有沒有辦法在 24 年日曆中實現 47% 左右的百分比?或者我們應該注意哪些不利因素?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes, let me take a shot and Doug can clean up, as needed. The question about gross margin, we're not backing off on those financial models that we put out in the past. And as you pointed out, we were getting pretty close to operating there while Malaysia was still a headwind. And so obviously, it will require a combination of some increased volumes. We've done a tremendous work to transfer a lot of our volume into lower-cost regions, really get a much more efficient supply chain. The COVID period was a real setback as you, again, sourced and grew in every possible location.

    是的,讓我試一試,Doug 可以根據需要進行清理。關於毛利率的問題,我們並沒有放棄過去推出的那些財務模型。正如你所指出的,我們已經非常接近在那裡開展業務,而馬來西亞仍然是一個逆風。很明顯,它需要結合一些增加的數量。我們做了很多工作,將我們的大量產品轉移到成本較低的地區,真正獲得了更高效的供應鏈。 COVID 時期是一個真正的挫折,因為您再次在每個可能的位置進行採購和發展。

  • But I think over the next period of time, and I hate to put a time frame on it, again, to give a '24 outlook, but if we exit this year with the incremental improvement of 100 basis points, as Doug talked about, or more and we have further volume and contribution coming through our new Asia facilities, I think that we'll drive right back towards those goals that we've set back in 2020, which was in kind of that 47.5%, 48% gross margin range.

    但我認為在接下來的一段時間內,我不想再給它一個時間框架來給出 24 年的展望,但如果我們今年以 100 個基點的增量改進退出,正如道格所說,或更多,我們通過新的亞洲設施獲得了更多的銷量和貢獻,我認為我們將回到我們在 2020 年設定的目標,即 47.5%、48% 的毛利率範圍。

  • Operator

    Operator

  • And the next question will come from Atif Malik with Citi.

    下一個問題將來自花旗的 Atif Malik。

  • Atif Malik - Director & Semiconductor Capital Equipment and Specialty Semiconductor Analyst

    Atif Malik - Director & Semiconductor Capital Equipment and Specialty Semiconductor Analyst

  • Similar to the last question on gross margins, Doug, can you help us understand, by the end of the year, what percentage of your manufacturing will be in Malaysia? And what are the steps you're taking in terms of asset optimization?

    類似於最後一個關於毛利率的問題,道格,你能幫助我們了解,到今年年底,你的製造業將在馬來西亞佔多少百分比?您在資產優化方面採取了哪些步驟?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • I'm not going to put a number on it, Atif. The growth, when it resumes, whenever that is, and we know it will resume, likely will pivot a little bit to that factory. We've said in the past, it will ultimately be the largest factory in the network. That is still absolutely the case. So that's part of what Tim just described, getting back to the financial model, is we'll just have a more efficient manufacturing footprint.

    我不會在上面加上數字,Atif。增長,當它恢復時,無論何時,我們知道它會恢復,可能會稍微轉向那家工廠。我們過去說過,它最終將成為網絡中最大的工廠。情況仍然如此。所以這就是蒂姆剛才描述的一部分,回到財務模型,我們將擁有更高效的製造足跡。

  • Atif Malik - Director & Semiconductor Capital Equipment and Specialty Semiconductor Analyst

    Atif Malik - Director & Semiconductor Capital Equipment and Specialty Semiconductor Analyst

  • Got it. And then, Tim, generative AI is a topic these days. Are there products in your portfolio on high-bandwidth memory or packaging side that you're seeing outsized growth? Or is it roughly in line with your logic exposure?

    知道了。然後,蒂姆,生成人工智能是當今的一個話題。您在高帶寬內存或封裝方面的產品組合中是否有出現超額增長的產品?還是大致符合你的邏輯曝光?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, I would say we haven't seen outsized growth, I think, that I could attribute directly to generative AI at this point. But clearly, Lam has been a strong player in advanced packaging. And we continue to make investments in that area to expand our product portfolio not only on a wafer basis but also different formats as well to make sure we're prepared for whatever the future might be in advanced packaging.

    好吧,我想說我們還沒有看到過大的增長,我認為,在這一點上我可以直接歸因於生成人工智能。但很明顯,Lam 一直是先進封裝領域的強者。我們繼續在該領域進行投資,以不僅在晶圓基礎上而且在不同格式上擴展我們的產品組合,以確保我們為先進封裝的未來做好準備。

  • Operator

    Operator

  • And we have a question from Blayne Curtis with Barclays.

    我們有來自巴克萊銀行的 Blayne Curtis 的問題。

  • Blayne Peter Curtis - Director & Senior Research Analyst

    Blayne Peter Curtis - Director & Senior Research Analyst

  • I want to ask on leading-edge foundry. Clearly, you're seeing strength in the trailing-edge. You've heard reports about maybe TSMC cutting. Definitely, utilizations are quite low at the leading-edge, so maybe just comments on what you're seeing from your leading-edge foundry customers.

    我想問一下前沿代工。顯然,您看到了後緣的力量。你聽說過有關台積電裁員的報導。當然,前沿的利用率非常低,所以也許只是評論你從前沿代工客戶那裡看到的情況。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes, I don't think we're going to comment about any specific customers, but I did make the comment that we see incremental weakness, and I said primarily from memory. And then I said we saw incremental strength in mature nodes. So obviously, primarily from memory, it implies there's additional weakness elsewhere. So I think leading-edge foundry is a bit weaker. Obviously, our exposure and our insight into that market is a little bit less than it is in memory, but we are seeing some weakness there as well.

    是的,我認為我們不會對任何特定客戶發表評論,但我確實發表評論說我們看到了漸進的弱點,我主要是根據記憶說的。然後我說我們在成熟節點中看到了增量力量。很明顯,主要是根據記憶,這意味著其他地方還有其他弱點。所以我認為前沿代工有點弱。顯然,我們對那個市場的曝光度和洞察力比記憶中的要少一些,但我們也看到了一些弱點。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Some of us saw it first time.

    我們中的一些人是第一次看到它。

  • Blayne Peter Curtis - Director & Senior Research Analyst

    Blayne Peter Curtis - Director & Senior Research Analyst

  • Got you. It's a perfect lead in. I guess I'm just trying to understand the second half comment. If memory players are kind of talking about second half spending being near 0, so I guess it could go lower. And I think a prior question said kind of down in '24, we'll see. But if foundry/logic is just starting the correction that memory just saw, I mean, I guess, could you still be up if it's just trailing-edge? I'm trying to understand those moving pieces.

    明白了這是一個完美的引導。我想我只是想理解後半部分的評論。如果記憶玩家正在談論下半年支出接近 0,那麼我猜它可能會更低。而且我認為之前的一個問題在 24 年說有點下降,我們拭目以待。但是,如果代工廠/邏輯剛剛開始修正內存剛剛看到的,我的意思是,我想,如果它只是後沿,你還能繼續嗎?我試圖理解那些動人的片段。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, obviously, this is where we get a little bit stuck around the challenge of trying to forecast WFE for the industry. Obviously, we don't have perfect insight into every element of WFE, including tool lead times and demand in different markets. So we try to give you our best view. But I think if you sort of translate this to what really matters to us in running the company, we've talked about the fact that we see, at some point, memory coming back in terms of utilization, starting to tick up at some point, but that's in the second half or '24, we'll see. Technology conversions in memory will be extremely good for Lam from the standpoint of how much we capture of every dollar of spending on technology conversions.

    好吧,很明顯,這就是我們在嘗試為行業預測 WFE 的挑戰時遇到的困難。顯然,我們對 WFE 的每一個要素都沒有完美的洞察力,包括工具交貨時間和不同市場的需求。因此,我們努力為您提供最佳視野。但我認為,如果你將其轉化為對我們運營公司真正重要的事情,我們已經討論過這樣一個事實,即我們看到,在某個時候,內存在利用率方面會恢復,在某個時候開始上升,但那是在下半年或 24 年,我們拭目以待。從我們在技術轉換上花費的每一美元中獲得多少的角度來看,記憶中的技術轉換對 Lam 來說將是極其有利的。

  • We talked about strength in mature node logic/foundry where Doug talked about these advanced payments, and we also talked about some trailing-edge shipments to China that we can now make after clarification of the rules. So all those things kind of contribute to how we think about Lam in the second half. Then we try to translate that to an industry WFE. I don't think we can spend a lot of time trying to dissect all of that low to mid-$70 billion for you with great accuracy.

    我們談到了成熟節點邏輯/鑄造廠的優勢,Doug 談到了這些預付款,我們還談到了一些在規則明確後我們現在可以向中國發貨的尾端。所以所有這些事情都有助於我們在下半場對林的看法。然後我們嘗試將其轉化為行業 WFE。我不認為我們可以花很多時間來非常準確地剖析所有 700 億美元中低端的資產。

  • Operator

    Operator

  • And we have a question from Sidney Ho with Deutsche Bank.

    德意志銀行的 Sidney Ho 提出了一個問題。

  • Shek Ming Ho - Director & Senior Analyst

    Shek Ming Ho - Director & Senior Analyst

  • First question is, can you give us an update on your expectations of revenue performance for the CSBG group? I think you previously said it will be down somewhat, but there seems to be some moving parts here, with memory utilization lower but China revenue being less restricted. Some color would be great.

    第一個問題是,您能否向我們介紹一下您對 CSBG 集團收入表現的最新預期?我想你之前說過它會有所下降,但這裡似乎有一些活動部件,內存利用率較低但中國收入受到的限制較少。一些顏色會很棒。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, Sidney. I think what I said last quarter, and I'll reiterate the same thing, we expect CSBG will be down a little bit this year but, obviously, a lot more resilient than overall WFE. No new statement relative to that outlook.

    是的,西德尼。我想我上個季度所說的,我會重申同樣的事情,我們預計 CSBG 今年會有所下降,但顯然比整個 WFE 更有彈性。沒有與該前景相關的新聲明。

  • Shek Ming Ho - Director & Senior Analyst

    Shek Ming Ho - Director & Senior Analyst

  • Okay. That's helpful. Maybe another question, just thinking about the recovery in memory spending, is the utilization level the first thing you guys monitor ahead of the CapEx recovery? And how much of a lag do you expect memory CapEx to recover once utilization starts to improve?

    好的。這很有幫助。也許另一個問題,只是考慮內存支出的恢復,在資本支出恢復之前,你們首先要監控的是利用率水平嗎?一旦利用率開始提高,您預計內存資本支出將恢復多少滯後?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • Yes, maybe I'll come. And then Tim, feel free to add on. Normally, the way this works, Sidney, the first thing I'd say is I cannot remember utilization being as low as it is right now. Usually, the fabs keep running, right, and other stuff gets adjusted. But right now, utilization is obviously down. The first thing the industry would do to get output growing again will be to move that utilization back up. That will benefit our spares business and probably a little bit of the upgrades, maybe a little bit.

    是的,也許我會來。然後蒂姆,請隨時添加。通常情況下,Sidney,我要說的第一件事是,我不記得利用率會像現在這樣低。通常,晶圓廠會繼續運轉,對吧,其他東西也會得到調整。但現在,利用率明顯下降。為了讓產量再次增長,該行業要做的第一件事就是提高利用率。這將有利於我們的備件業務,可能還有一點點升級,也許一點點。

  • The next thing they will do is convert the installed base which, when that happens, the industry gets fairly cost-efficient output, and we get a disproportionate amount of that spending when it's in memory, obviously, because we tend to be the bottlenecked tools. We get that upgrade business first, and then WFE comes back. So you got to think of that progression showing up in that order. We will benefit first in CSBG before you'll see it in WFE.

    他們接下來要做的是轉換已安裝的基礎,當這種情況發生時,該行業將獲得相當具有成本效益的輸出,而當它在內存中時,我們會得到不成比例的支出,顯然,因為我們往往是瓶頸工具.我們首先獲得升級業務,然後 WFE 回來。所以你必須考慮按那個順序出現的進展。在您在 WFE 中看到它之前,我們將首先在 CSBG 中受益。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I think it's difficult to put a time frame between, I think, what you're asking, when it starts to when you start to see WFE investments. I think that depends on the shape of that recovery which, again, we are confident that we'll be probably the first and greatest beneficiary of recovery, and we'll see what the shape of the recovery looks like once we have better view in demand.

    是的。我認為很難在你問的問題和你開始看到 WFE 投資的時間之間設定一個時間框架。我認為這取決於復甦的形式,我們再次相信,我們可能是複甦的第一個也是最大的受益者,一旦我們對複蘇有了更好的了解,我們就會看到復甦的形式。要求。

  • Operator

    Operator

  • We'll take the last question from Vijay Rakesh with Mizuho.

    我們將與 Mizuho 一起接受 Vijay Rakesh 的最後一個問題。

  • Vijay Raghavan Rakesh - MD of Americas Research & Senior Semiconductor Analyst

    Vijay Raghavan Rakesh - MD of Americas Research & Senior Semiconductor Analyst

  • Just a quick question on the China side. I know you mentioned China revenues were 22%, but it looks like there are some U.S. restrictions. As you look out, do you expect that segment exposure to go down or stay flat, if you can give some color to the puts and takes as to how you're approaching that?

    關於中國方面的一個簡短問題。我知道你提到中國的收入是 22%,但看起來美國有一些限制。當你看的時候,如果你能給看跌期權一些顏色並考慮你是如何接近它的,你是否預計該細分市場的風險敞口會下降或保持平穩?

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • I think it's probably down a little bit in the June quarter from a percentage standpoint, although don't hold me to that. We don't always get that exactly right, but I think it's probably down a little bit in June.

    從百分比的角度來看,我認為 6 月季度可能會有所下降,儘管不要讓我這麼認為。我們並不總是完全正確,但我認為 6 月份可能會有所下降。

  • Vijay Raghavan Rakesh - MD of Americas Research & Senior Semiconductor Analyst

    Vijay Raghavan Rakesh - MD of Americas Research & Senior Semiconductor Analyst

  • Got it. And on the memory side, I know you mentioned spending is a 10-year low, probably comes in a little bit again in June. But in terms of where you see the bounce coming, do you think that's driven more by technology transition or capacity adds? How do you see that? Because I think broadly, memory OEMs are still hemorrhaging cash, I believe, but just wondering how you see that bounce.

    知道了。在內存方面,我知道你提到支出是 10 年來的最低點,可能會在 6 月份再次出現。但就你看到反彈的地方而言,你認為這更多是由技術轉型或產能增加推動的嗎?你怎麼看?因為我認為從廣義上講,內存原始設備製造商仍在流失現金,我相信,但只是想知道你如何看待這種反彈。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I think as we've described, clearly, technology conversions occur first since that's the most capital-efficient way for customers to not only add some additional bits but also to get better cost structure. So clearly, technology additions will come before capacity additions.

    是的。我認為,正如我們所描述的那樣,很明顯,技術轉換首先發生,因為這是客戶最具資本效率的方式,不僅可以增加一些額外的位,而且還可以獲得更好的成本結構。很明顯,技術增加將先於容量增加。

  • Douglas R. Bettinger - Executive VP & CFO

    Douglas R. Bettinger - Executive VP & CFO

  • So operator, I think that concludes our time here. We can wrap the call up.

    接線員,我想我們的時間到此結束。我們可以結束通話了。

  • Operator

    Operator

  • Thank you. That does conclude today's conference. We do thank you for your participation. Have an excellent day.

    謝謝。今天的會議到此結束。我們非常感謝您的參與。祝你有美好的一天。