艾司摩爾 (ASML) 2016 Q4 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Ladies and gentlemen, thank you for standing by.

    女士們,先生們,謝謝你們的支持。

  • Welcome to the ASML 2016 fourth quarter and annual financial results conference call on January 18, 2017.

    歡迎參加 2017 年 1 月 18 日舉行的 ASML 2016 第四季度和年度財務業績電話會議。

  • Throughout today's introduction, all participants will be in a listen-only mode.

    在今天的介紹中,所有參與者都將處於只聽模式。

  • After ASML's introduction, there will be an opportunity to ask questions.

    ASML 介紹完畢後,會有提問的機會。

  • I would now like to open the question-and-answer queue.

    我現在想打開問答隊列。

  • (Operator Instructions) I would now like to turn the conference call over to Mr. Craig DeYoung.

    (操作員說明)我現在想將電話會議轉交給 Craig DeYoung 先生。

  • Please go ahead, sir.

    請繼續,先生。

  • Craig DeYoung - VP of IR

    Craig DeYoung - VP of IR

  • Thank you, Aaron, and good afternoon and good morning, ladies and gentlemen.

    謝謝你,Aaron,下午好,早上好,女士們,先生們。

  • This is Craig DeYoung, Vice President of Investor Relations at ASML.

    我是 ASML 投資者關係副總裁 Craig DeYoung。

  • Joining me today from ASML's headquarters in Veldhoven, The Netherlands is ASML's CEO, Peter Wennink; and our CFO, Wolfgang Nickl.

    今天從 ASML 位於荷蘭 Veldhoven 的總部與我會面的是 ASML 的首席執行官 Peter Wennink;和我們的首席財務官 Wolfgang Nickl。

  • The subject of today's call is ASML's 2016 fourth quarter and annual results.

    今天電話會議的主題是 ASML 2016 年第四季度和年度業績。

  • As always, the length of the call will be 60 minutes and questions will be taken in the order that they're received.

    與往常一樣,通話時間為 60 分鐘,問題將按照收到的順序進行處理。

  • This call is also being broadcast live over the Internet at asml.com and a replay of the call will be available on our website.

    此次電話會議也通過互聯網在 asml.com 上進行直播,我們的網站上將提供電話會議的重播。

  • Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the Federal Securities laws.

    在我們開始之前,我想提醒聽眾,管理層在本次電話會議上發表的評論將包括聯邦證券法意義上的前瞻性陳述。

  • These forward-looking statements involve material risks and uncertainties.

    這些前瞻性陳述涉及重大風險和不確定性。

  • For a discussion of risk factors, I encourage you to review the Safe Harbor statement contained in today's press release and presentation found on our website at asml.com and in ASML's Annual Report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

    對於風險因素的討論,我鼓勵您查看今天的新聞稿和演示文稿中包含的安全港聲明,該聲明和演示可在我們的網站 asml.com 以及 ASML 的 20-F 表格年度報告和提交給證券公司的其他文件中找到交易委員會。

  • With that, I'd like to turn the call over to Peter Wennink for a brief introduction.

    有了這個,我想把電話轉給 Peter Wennink 進行簡要介紹。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Thank you, Craig.

    謝謝你,克雷格。

  • Good morning, good afternoon, ladies and gentlemen, and thank you for joining us for our fourth quarter 2016 and 2016 annual results conference call.

    女士們,先生們,早上好,下午好,感謝您參加我們的 2016 年第四季度和 2016 年年度業績電話會議。

  • Before we begin the Q&A session, Wolfgang and I would like to provide you with an overview and some commentary on 2016, the fourth quarter, and beyond.

    在我們開始問答環節之前,沃爾夫岡和我想為您提供關於 2016 年第四季度及以後的概述和一些評論。

  • Wolfgang will start with a review of our annual 2016 and fourth quarter financial performance with some added comments on our short-term outlook.

    Wolfgang 將首先回顧我們 2016 年和第四季度的財務業績,並對我們的短期前景進行一些補充評論。

  • Then I will complete the introduction with some additional comments on key 2016 accomplishments and some of our near-term expectations.

    然後,我將完成介紹,並對 2016 年的主要成就和我們的一些近期預期進行一些補充評論。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • Thank you, Peter, and welcome everyone.

    謝謝你,彼得,歡迎大家。

  • 2016 was a remarkable year for ASML both financially and strategically.

    2016 年對於 ASML 來說無論在財務上還是戰略上都是非凡的一年。

  • I would like to first highlight some of our financial accomplishments and then finish with our view of the coming quarter.

    我想首先強調我們的一些財務成就,然後以我們對下一季度的看法結束。

  • 2016 was a record breaking year in many financial respects with total net sales, gross profit, net income, and earnings per share all reaching record levels.

    2016 年在許多財務方面都是創紀錄的一年,總淨銷售額、毛利潤、淨收入和每股收益均達到創紀錄水平。

  • In addition, we finished the year with the highest backlog ever which combined with our current business view allows us to look forward to another great year in 2017 where EUV becomes an integral and growing part of our system revenues contributing significantly to our topline growth through the balance of this decade and beyond.

    此外,我們以有史以來最高的積壓完成了這一年,再加上我們目前的業務觀點,我們可以期待在 2017 年迎來又一個偉大的一年,屆時 EUV 將成為我們系統收入中不可或缺且不斷增長的一部分,通過這十年及以後的平衡。

  • Turning to our Q4 results.

    轉向我們的第四季度業績。

  • Net sales came in at EUR1.91 billion.

    淨銷售額為 19.1 億歐元。

  • Net system sales accounted for EUR1.22 billion driven by logic which represented 61% of net system sales with memory returning to strength versus Q3 accounting for 39% of net system sales.

    由邏輯驅動的淨系統銷售額佔 12.2 億歐元,佔系統淨銷售額的 61%,內存恢復強勁,而第三季度佔系統淨銷售額的 39%。

  • System sales included EUR144 million of EUV revenue, in line with the guidance given during our earnings call in October.

    系統銷售額包括 1.44 億歐元的 EUV 收入,符合我們在 10 月份財報電話會議上給出的指導。

  • Net service and field sales for the quarter came in strong as expected at a level of EUR684 million driven by ongoing strong demand for Holistic Lithography options, high value upgrades, and our growing install base.

    由於對整體光刻選項的持續強勁需求、高價值升級以及我們不斷增長的安裝基礎,本季度的淨服務和現場銷售強勁,達到預期的 6.84 億歐元。

  • Furthermore, we closed the acquisition of HMI in November and net service and field option sales include about EUR25 million for this new and exciting part of our business.

    此外,我們在 11 月完成了對 HMI 的收購,淨服務和現場選項銷售額包括約 2500 萬歐元,用於我們業務的這一令人興奮的新部分。

  • Our gross margin for the quarter came in at 47.2%.

    我們本季度的毛利率為 47.2%。

  • This includes starting the amortization of intangibles as well as the effects from the fair value assessment of HMI's inventory as of the closing date of the acquisition.

    這包括開始攤銷無形資產以及截至收購結束日期對 HMI 庫存的公允價值評估的影響。

  • The negative impact on gross margin for both of these purchase price allocation related items was approximately 1 percentage point.

    這兩項採購價格分配相關項目對毛利率的負面影響約為 1 個百分點。

  • R&D expenses came in at EUR287 million, slightly higher than guided due to both the R&D expenses of HMI and the start of our partial funding of Zeiss SMT for our High NA EUV program.

    研發費用為 2.87 億歐元,略高於指導值,原因是 HMI 的研發費用和我們開始為我們的高 NA EUV 項目提供部分蔡司 SMT 資金。

  • SG&A expenses came in at EUR107 million, also slightly higher than guided due to the inclusion of HMI.

    SG&A 費用為 1.07 億歐元,由於包含 HMI,也略高於指導值。

  • We also had an impact from foreign currency revaluations on transactions and balances relating to the HMI acquisition.

    我們還受到外幣重估對與 HMI 收購相關的交易和余額的影響。

  • You may remember that this was an unfavorable effect of about EUR28 million in Q3 as reported during our last call.

    您可能還記得,正如我們上次電話會議所報告的那樣,這在第三季度產生了約 2800 萬歐元的不利影響。

  • For Q4 we had a more than offsetting favorable effect of about EUR83 million.

    對於第四季度,我們產生了超過 8300 萬歐元的有利影響。

  • These effects are reported in the interest and other line in our P&L.

    這些影響在我們的損益表的利息和其他行中報告。

  • Moving to the order book.

    轉到訂單簿。

  • Q4 system bookings came in at EUR1.6 billion for 44 systems including six 3400 EUV systems.

    44 個系統的第四季度系統預訂額為 16 億歐元,其中包括 6 個 3400 EUV 系統。

  • Strong bookings continued in the logic sector in support of the 10 nanometer ramps and in support of EUV insertion at the 7 nanometer node.

    支持 10 納米坡道和支持在 7 納米節點插入 EUV 的邏輯部門繼續保持強勁預訂。

  • Memory bookings strengthened further from its strong Q3 level supporting expected strength in memory shipments continuing in 2017 driven by DRAM.

    內存訂單從第三季度的強勁水平進一步增強,支持 2017 年由 DRAM 推動的內存出貨量預期強勁。

  • Continuing order flow for EUV systems brings our total year-end EUV system order book to 18 systems.

    EUV 系統的持續訂單流使我們的年終 EUV 系統訂單總數達到 18 個系統。

  • Our overall systems backlog now stands at nearly EUR4 billion.

    我們的整體系統積壓現在接近 40 億歐元。

  • Turning to the balance sheet.

    轉向資產負債表。

  • Quarter-over-quarter cash, cash equivalents, and short-term investments came in at EUR4.06 billion.

    季度現金、現金等價物和短期投資為 40.6 億歐元。

  • A major driver was our free cash flow of EUR1.1 billion in Q4.

    一個主要驅動因素是我們第四季度的 11 億歐元自由現金流。

  • As we experienced in the last quarter of 2015, we saw a significant level of early payments from customers which will impact Q1 2017 cash flows.

    正如我們在 2015 年最後一個季度所經歷的那樣,我們看到客戶提前支付了大量款項,這將影響 2017 年第一季度的現金流。

  • Also as already mentioned before, we closed the HMI acquisition during the quarter and also issued a EUR750 million bond to support part of our planned strategic investment in Zeiss SMT, which is expected to close in Q2 of 2017.

    同樣如前所述,我們在本季度完成了對 HMI 的收購,並發行了 7.5 億歐元的債券,以支持我們計劃在 2017 年第二季度完成的對蔡司 SMT 的部分戰略投資。

  • With that, I would like to turn to our expectations and guidance for the first quarter of 2017.

    有了這個,我想談談我們對 2017 年第一季度的期望和指導。

  • We expect continuing sales strength in Q1 with total net sales of approximately EUR1.8 billion, of which an estimated EUR30 million will be deferred EUV revenue.

    我們預計第一季度的銷售將持續強勁,總淨銷售額約為 18 億歐元,其中估計有 3000 萬歐元將是遞延 EUV 收入。

  • Foundry shipment strength supporting 10 nanometer RAMs will continue in Q1 and will be firmly supported by memory shipments.

    支持 10 納米 RAM 的代工出貨量將在第一季度繼續保持,並將受到內存出貨量的堅定支持。

  • We also expect to ship our first NXE:3400 EUV system in the quarter.

    我們還預計在本季度出貨我們的第一個 NXE:3400 EUV 系統。

  • We expect to record the revenue for the system in the third quarter of the year since this system will ship in a non-final configuration.

    我們預計將在今年第三季度記錄該系統的收入,因為該系統將以非最終配置發貨。

  • I would also like to mention here that one of the EUV systems that we expected to ship early this year was pulled from Q4 last year due to a customer readiness issue will not ship this year as originally planned.

    我還想在這裡提一下,由於客戶準備問題,我們預計今年年初發貨的 EUV 系統之一已從去年第四季度撤出,因為今年不會按原計劃發貨。

  • Due to other extenuating circumstances, this customer has now decided to place a system upgrade order for this tool and will take delivery of it in 2018 where it will add to two other systems at the customer side to be shipped this year.

    由於其他情有可原,該客戶現在決定為該工具下一個系統升級訂單,並將在 2018 年接收它,它將添加到客戶端的另外兩個系統,並將於今年發貨。

  • This leaves our system output plan at 12 new systems and our shipment plan at 13 considering the one additional system that missed delivery for material availability reasons in Q4 2016.

    這使得我們的系統輸出計劃為 12 個新系統,我們的發貨計劃為 13 個,考慮到 2016 年第四季度由於材料可用性原因而錯過交付的另外一個系統。

  • We expect our Q1 service and field options revenue to again come in above EUR650 million driven by continued demand for Holistic Lithography options, high value upgrades, and our growing install base.

    我們預計,由於對整體光刻選項的持續需求、高價值升級以及我們不斷增長的安裝基礎,我們的第一季度服務和現場選項收入將再次超過 6.5 億歐元。

  • For now we will report HMI revenues under field options and services.

    目前,我們將在現場選項和服務下報告 HMI 收入。

  • Gross margin for Q1 is again expected to be around 47% including the effect from the purchase price allocation for the HMI acquisition.

    第一季度的毛利率再次預計在 47% 左右,其中包括 HMI 收購的購買價格分配的影響。

  • The negative impact of this purchase price allocation adjustment for Q1 is more than 1 percentage point.

    本次採購價格分配調整對一季度的負面影響超過1個百分點。

  • The impact for the full year is about EUR90 million and will reduce to about EUR40 million per year from 2018 onwards.

    全年的影響約為 9,000 萬歐元,從 2018 年起將減少至每年約 4,000 萬歐元。

  • R&D expenses for Q1 will be about EUR320 million and SG&A is expected to come in at about EUR95 million.

    第一季度的研發費用約為 3.2 億歐元,SG&A 預計約為 9500 萬歐元。

  • The uptick in R&D spend is driven by the inclusion of HMI and accelerated investments in pattern fidelity metrology our contributions to SMT's High NA developments, our own High NA development acceleration, and the strong US dollar.

    研發支出的增加是由 HMI 的加入和對模式保真計量的加速投資推動的,我們對 SMT 的高 NA 開發的貢獻、我們自己的高 NA 開發加速以及美元走強。

  • As a reminder regarding our share buyback program.

    提醒一下我們的股票回購計劃。

  • Last year we purchased EUR400 million worth of our own shares before the program was paused during our acquisition of HMI.

    去年,在我們收購 HMI 期間該計劃暫停之前,我們購買了價值 4 億歐元的自有股票。

  • It remains paused for the time being as we close our planned investment in Zeiss SMT.

    隨著我們結束對蔡司 SMT 的計劃投資,它暫時仍處於暫停狀態。

  • The transaction is in the regulatory approval process in the required jurisdictions.

    該交易正處於所需司法管轄區的監管審批程序中。

  • We've already received the approval in South Korea and expect the approval from Germany and China in time to close the transaction in Q2 2017.

    我們已經在韓國獲得了批准,預計德國和中國的批准將在 2017 年第二季度及時完成。

  • And finally, an increase of our annual dividend from EUR1.05 to EUR1.20 will be proposed at our Annual General Meeting of shareholders in April.

    最後,我們將在 4 月份的年度股東大會上提議將我們的年度股息從 1.05 歐元增加到 1.20 歐元。

  • With that, I would like to turn the call back over to Peter.

    有了這個,我想把電話轉回給彼得。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Thank you, Wolfgang.

    謝謝你,沃爾夫岡。

  • 2016 was indeed a remarkable year for ASML not only financially as highlighted by Wolfgang, but strategically and product technology wise as we continue to prepare ourselves for continued growth in the coming decade and beyond.

    2016 年對於 ASML 來說確實是非凡的一年,不僅在 Wolfgang 強調的財務方面,而且在戰略和產品技術方面,我們將繼續為未來十年及以後的持續增長做好準備。

  • I'd like to take a moment to highlight some of these key events.

    我想花一點時間來強調其中的一些關鍵事件。

  • Firstly, our acquisition of HMI will enable us to move from simple imaging and imaging placement significantly in the direction of full pattern fidelity control, which is a key requirement and value provider for our customers at 7 nanometer and below.

    首先,我們對 HMI 的收購將使我們能夠從簡單的成像和成像放置顯著轉向全圖案保真度控制,這是 7 納米及以下客戶的關鍵要求和價值提供者。

  • This along with an expansion of our current products into broader types of applications allows us to lay the foundation for future growth in our Holistic Lithography products group.

    這與將我們當前的產品擴展到更廣泛的應用類型一起,使我們能夠為我們的整體光刻產品組的未來增長奠定基礎。

  • Secondly, we brought a key supplier partnership to the next level by agreeing to acquire a minority stake of Carl Zeiss, our major critical optics supplier, for the purpose of not only securing the extension of EUV imaging technology, but also strengthening the current combined businesses of the two companies to improve cooperation and governance.

    其次,我們同意收購我們主要的關鍵光學供應商 Carl Zeiss 的少數股權,從而將關鍵供應商合作關係提升到一個新的水平,目的不僅是確保 EUV 成像技術的擴展,而且還可以加強現有的合併業務兩家公司改善合作和治理。

  • The co-investment of about EUR760 million over a six year period centers on the R&D investments of next generation lenses and related capital expenditure also referred to as High NA, which is critical in meeting our customers' imaging roadmaps throughout the next decade.

    六年期間約 7.6 億歐元的共同投資集中在下一代鏡頭的研發投資和相關資本支出(也稱為高 NA)上,這對於在未來十年內滿足我們客戶的成像路線圖至關重要。

  • Lastly and probably more significantly, the industry has turned the corner on EUV.

    最後,也許更重要的是,該行業已經在 EUV 上轉了個彎。

  • Throughout the year we continued to execute on mutually agreed performance milestones, which allowed our customers to grow confidence in the technology and tool performance.

    在這一年中,我們繼續執行雙方商定的性能里程碑,這使我們的客戶對技術和工具性能充滿信心。

  • This resulted in customer decisions to allocate their most critical layers of the next generation nodes to EUV beginning with the industry 7 nanometer logic node.

    這導致客戶決定從工業 7 納米邏輯節點開始將下一代節點的最關鍵層分配給 EUV。

  • With customers backing this confidence up with orders, we ended the year with an EUV backlog of 18 systems as mentioned.

    由於客戶通過訂單支持這種信心,我們在今年結束時積壓了 18 個系統,如前所述。

  • Together with the anticipated Q1 orders, this will cover our 2017 and early 2018 EUV output.

    連同預期的第一季度訂單,這將涵蓋我們 2017 年和 2018 年初的 EUV 產量。

  • As mentioned before, our output capability for 2018 will be around 24 systems.

    如前所述,我們 2018 年的輸出能力將在 24 個系統左右。

  • Based on the timing of high volume manufacturing introduction of the advanced logic and memory nodes as announced by our customers, it is realistic to assume that this production capability will be fully utilized by our customers.

    根據我們客戶宣布的高級邏輯和內存節點的大批量製造時間,假設我們的客戶將充分利用這種生產能力是現實的。

  • This means we would expect a continued order flow in the coming quarters.

    這意味著我們預計未來幾個季度會有持續的訂單流。

  • As we move into the next phase of industrialization of EUV, our focus will remain on continued improvement of key HVM performance metrics very much in line with what customers expect of our DPV offering, above 90% availability and productivity per tool specifications.

    隨著我們進入 EUV 工業化的下一階段,我們將繼續關注關鍵 HVM 性能指標的持續改進,這與客戶對我們 DPV 產品的期望非常一致,每個工具規格的可用性和生產率都超過 90%。

  • Furthermore, we are heightening our focus on our supply chain to provide the required number of EUV systems on time and on preparing a competent and sufficiently sizable EUV field service organization capable of supporting our customers in the volume manufacturing installation plants.

    此外,我們正在加強我們對供應鏈的關注,以按時提供所需數量的 EUV 系統,並準備建立一個有能力且足夠規模的 EUV 現場服務組織,能夠在批量製造安裝工廠中為我們的客戶提供支持。

  • With respect to our core product lines, we continued to develop industry leading imaging systems evidenced by the introduction of our latest immersion product offering, the NXT:1980, which has demonstrated the fastest ramp of a new product in our history with 46 systems shipped in 2016.

    關於我們的核心產品線,我們繼續開發行業領先的成像系統,我們最新的沉浸式產品 NXT:1980 的推出證明了這一點,它展示了我們歷史上最快的新產品增長,出貨了 46 個系統2016 年。

  • For our Holistic Lithography product line, 2016 was also a good year where we launched new products by penetrating new large customer accounts promising significant new business in the years to come.

    對於我們的整體光刻產品線而言,2016 年也是一個豐收的一年,我們通過滲透新的大客戶帳戶推出了新產品,並承諾在未來幾年內會有重大的新業務。

  • Together with the aforementioned progress on the EUV product performance and related business opportunities, we feel confident in further anchoring our leadership position in the semiconductor equipment marketplace.

    加上上述在 EUV 產品性能和相關商機方面的進展,我們有信心進一步鞏固我們在半導體設備市場的領導地位。

  • And turning to the short term, as Wolfgang mentioned, we expect to build upon a record 2016 seeing further significant opportunities to grow in 2017.

    談到短期,正如沃爾夫岡所說,我們預計將在 2016 年創紀錄的基礎上再接再厲,在 2017 年看到更多重要的增長機會。

  • We see a continued ramp of the foundry logic 10 nanometer node as recently confirmed by one of our large foundry customers with memory strength driven by expected stronger bit growth in 2017.

    我們看到代工邏輯 10 納米節點的持續增長,正如我們的一位大型代工客戶最近證實的那樣,內存實力受到 2017 年預期更強勁的位增長的推動。

  • In addition, service and field option sales are again expected to continue to grow in 2017.

    此外,服務和現場選項銷售預計將在 2017 年繼續增長。

  • This will continue to be driven by sales of scanner system upgrades and our growing Holistic Lithography product offering.

    這將繼續受到掃描儀系統升級銷售和我們不斷增長的整體光刻產品供應的推動。

  • In 2017, we'll see the first real impact of EUV system sales with the recognition of systems shipped in the calendar year as well as pieces of remaining revenue recognition from systems shipped in the past.

    在 2017 年,我們將看到 EUV 系統銷售的第一個真正影響,即確認日曆年發貨的系統以及過去發貨系統的剩餘收入確認。

  • For 2017, our opportunities and challenges are crystal clear.

    2017年,我們的機遇和挑戰一目了然。

  • The commitment of our customers to EUV is now apparent and evidenced by our significant and growing EUV backlog.

    我們的客戶對 EUV 的承諾現在顯而易見,我們大量且不斷增長的 EUV 積壓證明了這一點。

  • Supporting our customer's intent for moving EUV into volume production in the coming 24 months will remain our number one priority in order to ensure that our customers can deliver the next node transitions as planned.

    支持我們客戶在未來 24 個月內將 EUV 投入量產的意圖仍然是我們的首要任務,以確保我們的客戶能夠按計劃交付下一個節點轉換。

  • ASML remains committed to do everything within our capability and power to bring EUV to manufacturing as soon as possible.

    ASML 仍然致力於在我們的能力和能力範圍內盡一切努力盡快將 EUV 帶入製造。

  • With that, we would be happy to take your questions.

    有了這個,我們很樂意回答您的問題。

  • Craig DeYoung - VP of IR

    Craig DeYoung - VP of IR

  • Thank you for letting us to take some extra time to review in some depth key events of 2016 and their impact on our future.

    感謝您讓我們抽出一些額外的時間來深入回顧 2016 年的一些關鍵事件及其對我們未來的影響。

  • The operator will instruct you momentarily on the protocol for the Q&A session.

    接線員將立即指導您問答環節的協議。

  • But beforehand as I always do, I'd like to ask you kindly to limit yourself to one question and one short follow-up if necessary.

    但是,像往常一樣,在此之前,我想請您將自己限制在一個問題上,並在必要時進行一次簡短的跟進。

  • This will allow us to get as many callers in the hour as possible.

    這將使我們能夠在一小時內接到盡可能多的呼叫者。

  • Now Aaron, could we have your final instructions and then the first question please?

    現在 Aaron,我們可以給你最後的指示,然後是第一個問題嗎?

  • Operator

    Operator

  • Ladies and gentlemen, at this time we will start the question-and-answer session.

    女士們,先生們,此時我們將開始問答環節。

  • (Operator Instructions) Weston Twigg.

    (操作員說明)Weston Twigg。

  • Weston Twigg - Analyst

    Weston Twigg - Analyst

  • Weston Twigg, Pacific Crest.

    Weston Twigg,太平洋冠。

  • So, my question is related to the memory strength.

    所以,我的問題與記憶力有關。

  • You mentioned that it should stay strong through 2017 particularly on the DRAM side with bit growth and I was just wondering if you're seeing new DRAM capacity being installed given the pricing trends or if these are tools that are being shipped in the end and then replaced in the DRAM fabs?

    你提到它應該在 2017 年保持強勁,特別是在 DRAM 方面,比特增長,我只是想知道你是否看到考慮到定價趨勢而安裝了新的 DRAM 容量,或者這些工具是否最終交付在 DRAM 晶圓廠更換?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • It's more of the latter.

    更多的是後者。

  • So, we've seen significant relocations from DRAM capacity into NAND throughout 2016 or starting in 2015 and is really feeling it all back up.

    因此,我們在整個 2016 年或從 2015 年開始,都看到了從 DRAM 容量到 NAND 的重大遷移,並且真的感覺這一切都在恢復。

  • Like we said in the previous call, we saw quite a significant capacity drop in 2016 in terms of wafer starts per month in DRAM, which is a double-digit drop and that's being filled up with new DRAM capacity.

    就像我們在上次電話會議中所說的那樣,我們看到 2016 年 DRAM 的每月晶圓開工量出現了相當大的產能下降,這是一個兩位數的下降,並且正在被新的 DRAM 產能填補。

  • Weston Twigg - Analyst

    Weston Twigg - Analyst

  • Okay.

    好的。

  • And then I guess as my follow-up, those upgrades on the NAND side, are those being upgrades that are contributing to your field and service options or is that a different revenue line or are those even being upgraded?

    然後我想作為我的後續行動,那些 NAND 方面的升級,是那些有助於您的領域和服務選擇的升級,還是一條不同的收入線,或者那些甚至正在升級?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • It's the first.

    這是第一個。

  • These are the upgrades that are in the field service and option line.

    這些是現場服務和選項線中的升級。

  • Operator

    Operator

  • Kai Korschelt.

    凱·科舍爾特。

  • Kai Korschelt - Analyst

    Kai Korschelt - Analyst

  • Kai Korschelt, Bank of America.

    凱·科舍爾特,美國銀行。

  • The first one was, Peter, just to clarify your commentary around the EUV shipments next year.

    彼得,第一個是為了澄清你對明年 EUV 出貨量的評論。

  • So, I think I heard you say it's realistic to expect 24 tools.

    所以,我想我聽到你說期望 24 種工具是現實的。

  • What would be the sort of puts and takes on kind of whether you would ship capacity or maybe potentially slightly less in terms of adoption and capacity?

    您是否會提供容量或可能會在採用和容量方面略微減少,這將是什麼類型的投入和投入?

  • And the second question was on the Chinese CapEx and I think you said something on the video on your website.

    第二個問題是關於中國資本支出的,我想你在你網站上的視頻中說了些什麼。

  • Some of the data points that has been making the rounds of the press suggests that there could be tens of billions of dollars spend on new memory fabs in China.

    媒體上流傳的一些數據表明,中國可能有數百億美元用於新的內存工廠。

  • So I'm just wondering in terms of the phasing, timing, or magnitude; how much visibility do you have on those projects?

    所以我只是想知道階段、時間或幅度;你對這些項目有多少知名度?

  • Thank you.

    謝謝你。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • On 2018 the determining factor is not so much our capability or the performance of the tool, it is really as you can understand the next nodes of our customers have complexities in there that are not only driven by our capability or our lithography capability.

    在 2018 年,決定因素與其說是我們的能力或工具的性能,不如說是您可以理解我們客戶的下一個節點在其中具有復雜性,這不僅是由我們的能力或我們的光刻能力驅動的。

  • This is really based on the introduction timing of our customers.

    這實際上是基於我們客戶的介紹時間。

  • So when all our major customers make public statements about when they want to introduce their next nodes and start using EUV, we take those statements and actually we don't only take the public statements to be honest, we have very detailed discussions with those customers on those public statements and what that means.

    因此,當我們所有的主要客戶都公開聲明他們希望何時推出他們的下一個節點並開始使用 EUV 時,我們會接受這些聲明,實際上我們不僅坦誠地接受公開聲明,我們還與這些客戶進行了非常詳細的討論關於那些公開聲明以及這意味著什麼。

  • So, we get very detailed plans of when they need what tool when and that is really driving the let's say realistic assumption that when we have 24 units to sell, we will sell 24 units when they stick to their plans.

    因此,我們得到了非常詳細的計劃,即他們何時需要什麼工具,而這真正推動了我們假設現實的假設,即當我們有 24 個單位要出售時,當他們堅持他們的計劃時,我們將出售 24 個單位。

  • So this is basically what it is, there's nothing more to it than that.

    所以這基本上就是它的樣子,沒有什麼比這更重要的了。

  • So, customers will decide and it could be that if one customer says I for whatever reason need to do an introduction six months or later, there will be a six month rescheduling.

    因此,客戶將做出決定,如果一位客戶說我出於任何原因需要六個月或更晚進行介紹,則可能會重新安排六個月的時間。

  • That's what really drives the business.

    這才是真正推動業務發展的因素。

  • China CapEx, it's interesting.

    中國資本支出,這很有趣。

  • We also have a lot of interest following every comment that comes out of China, all the tens of billions that will be invested in all kinds of fabs all over the place.

    對於來自中國的每一條評論,我們也很感興趣,所有數百億將投資於各地的各種晶圓廠。

  • But you also have to look at what impact that will have on our short-term business.

    但你也必須看看這將對我們的短期業務產生什麼影響。

  • Now short term yes, there are many ceremonies of fab openings or fab extensions I would say, the number of real new fabs is limited or let's say Chinese owned companies.

    現在短期是的,我想說有很多工廠開業或擴建的儀式,真正的新工廠的數量是有限的,或者說是中國公司。

  • Of course there are the foreign companies that open fabs in China, but I think the reference to the tens of billions are really Chinese owned companies.

    當然也有在中國開晶圓廠的外國公司,但我覺得這裡提到的百億真的是中資企業。

  • Definitely I promise when we look at the next 12 to 18 months, it is good.

    我絕對保證,當我們展望未來 12 到 18 個月時,這很好。

  • There's some good logic opportunity, there's some memory opportunity there; but it's all within the realms of good business and not the extraordinary growth that some people are portraying.

    有一些很好的邏輯機會,有一些記憶的機會;但這一切都在良好的業務範圍內,而不是某些人所描繪的非凡增長。

  • That will very likely happen, but it's very likely also going to take a bit more time than the 2017/2018 timeframe.

    這很可能會發生,但也很可能需要比 2017/2018 年時間框架更多的時間。

  • Operator

    Operator

  • Sandeep Deshpande.

    桑迪普·德什潘德。

  • Sandeep Deshpande - Analyst

    Sandeep Deshpande - Analyst

  • This is Sandeep Deshpande, JPMorgan.

    我是摩根大通的 Sandeep Deshpande。

  • Just a quick question, Peter, on the order intake.

    彼得,只是一個關於訂單接收的快速問題。

  • In the fourth quarter you took orders for six EUV tools and at the same time we have some expectation that you will sign volume purchase agreements with some of your large customers for these EUV tools.

    在第四季度,您收到了六台 EUV 工具的訂單,同時我們預計您將與您的一些大客戶簽署這些 EUV 工具的批量採購協議。

  • So, is it now that you will be taking these EUV orders as a normal part of the business as you seem to have done in the fourth quarter or are we to still expect volume purchase agreements in the next few months?

    那麼,現在您是否將這些 EUV 訂單作為業務的正常部分,就像您在第四季度所做的那樣,還是我們仍然期望在未來幾個月內達成批量採購協議?

  • And I have one quick follow-up question on the 2018 shipments.

    我有一個關於 2018 年出貨量的快速跟進問題。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • On the order intake in Q4, that was based on a volume purchase agreement for you could say the 3400 delivery.

    關於第四季度的訂單量,這是基於批量採購協議,您可以說是 3400 件交貨。

  • It does not include yet the additional options that they need on those 3400.

    它還不包括他們在 3400 上需要的其他選項。

  • So you could say if there's a fully signed and closed volume purchase agreement with that customer not entirely because the options are still under discussion, what they need and the economics of it.

    因此,您可以說是否與該客戶簽訂了完全簽署和封閉的批量購買協議,這並不完全是因為仍在討論選項,他們需要什麼以及它的經濟性。

  • But yes, those orders were indeed taken under the agreements that we have on the pricing and the pricing models.

    但是,是的,這些訂單確實是根據我們就定價和定價模型達成的協議進行的。

  • That's just for one customer.

    這僅適用於一位客戶。

  • Other customers will follow suit as we continue in 2017.

    隨著我們在 2017 年繼續,其他客戶也將效仿。

  • And for those who will introduce EUV later, those VPAs will be also signed later on in 2018.

    而對於那些稍後將引入 EUV 的人來說,這些 VPA 也將在 2018 年晚些時候簽署。

  • So as you said, it's the normal course of business.

    所以正如你所說,這是正常的業務過程。

  • Sandeep Deshpande - Analyst

    Sandeep Deshpande - Analyst

  • And then following on to 2018 shipments, you're going to have this capacity for 24 tools potentially and then you've already got six of those orders at this point.

    然後在 2018 年發貨之後,您可能會擁有 24 種工具的容量,此時您已經收到了其中的 6 份訂單。

  • By which point do you need to get all your orders so that that capacity will be readied for the customer?

    到什麼時候您需要獲得所有訂單,以便為客戶準備好容量?

  • Because you've said in the past that you take almost a year to ship these tools and then there is a time which the customer takes to install the tool in their own facility and get it stabilized.

    因為您過去曾說過,您需要將近一年的時間來運送這些工具,然後客戶需要一段時間才能在他們自己的設施中安裝該工具並使其穩定下來。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • The time to ship and install is about a year so it's not plus, plus.

    運送和安裝的時間大約是一年,所以它不是加號,加號。

  • So, it's not for us a time of the year to ship the tool.

    所以,這不是我們一年中發布該工具的時間。

  • Our time is we can ship an EUV tool so we can install an EUV tool in about three to four months and we have currently an interval or a cycle time in the factory of about six months.

    我們的時間是我們可以運送一個 EUV 工具,這樣我們就可以在大約三到四個月內安裝一個 EUV 工具,我們目前在工廠有大約六個月的間隔或週期時間。

  • So all-in-all for us it takes about nine months from start of the tool to get it installed at the customer side.

    因此,對我們來說,從開始使用該工具到在客戶端安裝它需要大約 9 個月的時間。

  • Now then the customer needs to of course start to qualify the production.

    現在,客戶當然需要開始對生產進行鑑定。

  • The issue is in their supply chain and in their supply chain we need lenses, we need large mechanical modules that need to be produced, and this is limiting our output capability right now.

    問題在於他們的供應鏈,在他們的供應鏈中,我們需要鏡頭,我們需要需要生產的大型機械模塊,這限制了我們現在的輸出能力。

  • However, having said that to your earlier questions, we are in very intense and deep discussions with customers on volume purchase agreements and it is also clear that those customers need those tools at a certain moment, day, and time.

    但是,對於您之前的問題,我們正在與客戶就批量購買協議進行非常激烈和深入的討論,而且很明顯,這些客戶在特定的時刻、日期和時間需要這些工具。

  • And taking into account our cycle time reduction plans, it is not absolutely necessary throughout 2017 that we need to keep on to this two year timeline.

    考慮到我們的周期時間減少計劃,在整個 2017 年,我們並不一定需要遵守這兩年的時間表。

  • That will go down, that will be shorter because we will reduce the cycle time as volumes go up.

    這會下降,這會更短,因為隨著數量的增加,我們將減少週期時間。

  • However, to be able to ship 24 units in 2018, those systems need to be booked by the end of the year so in this year.

    然而,為了能夠在 2018 年出貨 24 台,這些系統需要在今年年底之前預訂。

  • Now if you're going to ask me when should those orders come in; is it Q1, is it Q2, or really Q3; I don't know yet and it's not that important.

    現在,如果你要問我這些訂單什麼時候進來;是 Q1,是 Q2,還是真的 Q3;我還不知道,也不是那麼重要。

  • But what I do know is that if we need to ship 24 units in 2017, those orders need to be in.

    但我所知道的是,如果我們需要在 2017 年出貨 24 台,那麼這些訂單就必須到位。

  • Operator

    Operator

  • C.J Muse.

    C.J繆斯。

  • C.J Muse - Analyst

    C.J Muse - Analyst

  • C.J. Muse, Evercore ISI.

    C.J. Muse,Evercore ISI。

  • So first question, can you walk through how we should think about the gross margin for EUV through calendar 2017 and particularly interested how we should think about the inclusion of deferred 100% margins through the year?

    所以第一個問題,您能否介紹一下我們應該如何考慮到 2017 年的 EUV 毛利率,特別感興趣的是我們應該如何考慮將 100% 的遞延利潤率納入這一年?

  • Thank you.

    謝謝你。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • I'll take that, C.J. We painted the picture at our Investor Day as well.

    我會接受的,C.J. 我們也在投資者日畫了這幅畫。

  • The starting point is a gross margin if you take everything into consideration of about minus 75% in 2016.

    如果考慮到 2016 年的負 75% 左右,那麼起點就是毛利率。

  • Our objective is to get this business breakeven from a gross margin perspective in 2017 and then in 2018 you would make another significant step forward we are thinking somewhere in the 20%s or so.

    我們的目標是在 2017 年從毛利率的角度實現業務收支平衡,然後在 2018 年您將向前邁出重要的一步,我們認為在 20% 左右的某個地方。

  • Of course there are a few things that contribute to that and you're right, some of the catch-up revenue that essentially comes at no or low cost will help.

    當然,有一些事情會促成這一點,你是對的,一些基本上免費或低成本的追趕收入會有所幫助。

  • But it also will help that this year we are shipping the 3400, which has a list price that's about EUR20 million higher than that the 3350s.

    但今年我們將推出 3400 也會有所幫助,它的標價比 3350 高出約 2000 萬歐元。

  • We're also going to continue to make progress on the cost side.

    我們還將繼續在成本方面取得進展。

  • We still have significant amounts of field upgrades that we have to do for no charges.

    我們仍然需要免費進行大量現場升級。

  • We have learning to do, we are making progress on that front as well.

    我們有學習要做,我們也在這方面取得進展。

  • And if you then take into consideration also the service business where as you know, we are charging per wafer out; but we got to mind a growing installed base that is not productive in churning out a lot of wafers.

    如果您還考慮到服務業務,如您所知,我們按晶圓收取費用;但我們不得不考慮一個不斷增長的安裝基礎,它在大量生產晶圓方面效率不高。

  • So, we are still spending a significant amount of money on this without revenue coming in.

    因此,我們仍然在沒有收入的情況下花費大量資金。

  • But if you take it all into consideration, we are targeting around breakeven.

    但如果你把這一切都考慮在內,我們的目標是盈虧平衡。

  • As it relates to the total business, we were about 45% gross margin in 2016.

    由於它與總業務有關,我們在 2016 年的毛利率約為 45%。

  • I think we are going to continue to make progress in both businesses EUV going from minus 75% to about breakeven, but also the non-EUV business because the mix is shifting towards applications and more higher value systems will make progress as well.

    我認為我們將繼續在 EUV 從負 75% 到大約盈虧平衡的業務以及非 EUV 業務方面取得進展,因為組合正在轉向應用程序,更多更高價值的系統也將取得進展。

  • But overall I think for your modeling purposes, you should assume that the total Company gross margin will somewhat go down because you're growing the revenue significantly on the EUV line.

    但總體而言,我認為出於建模目的,您應該假設公司的總毛利率會有所下降,因為您在 EUV 線上顯著增加了收入。

  • So, should expect a little bit of a step back there before then in 2018 we are marching towards the 50% plus that we're targeting for 2020.

    因此,應該期待在 2018 年之前稍微退後一步,我們正朝著 2020 年目標的 50% 以上邁進。

  • C.J Muse - Analyst

    C.J Muse - Analyst

  • Just as a quick follow-up there, are you thinking closer to like 43%, 44%?

    就像那裡的快速跟進一樣,你認為接近 43%、44% 嗎?

  • And then as my follow-up on the foundry side, orders ex-EUV came in fairly weak I think sub EUR300 million in the December quarter.

    然後作為我在代工方面的後續行動,除 EUV 外的訂單相當疲軟,我認為 12 月季度低於 3 億歐元。

  • How should we think about the timing of a pickup there?

    我們應該如何考慮在那裡取貨的時間?

  • Is that simply turns where they will place the order upon your shipment and therefore really not an issue and how do we think about the trajectory as we contemplate 10 nanometer and 7 nanometer RAM?

    這只是轉向他們將在您發貨時下訂單的地方,因此真的不是問題嗎?當我們考慮 10 納米和 7 納米 RAM 時,我們如何考慮軌跡?

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • Without tying it down to a specific number, but I think with everything that we gave you between Peter on the shipments, on EUV, and the margin; I think you are in the right zip code there on the gross margins.

    沒有把它限制在一個特定的數字上,但我認為我們在 Peter 的出貨量、EUV 和保證金之間給了你所有的信息;我認為您在毛利率上的郵政編碼是正確的。

  • Related to orders, first of all we are thrilled to be at an overall backlog of EUR4 billion.

    與訂單相關,首先我們很高興總積壓 40 億歐元。

  • Having said that, same story as in prior calls.

    話雖如此,與之前的電話中的故事相同。

  • We published the backlog because it gives you a decent structural view on what's happening in the business; you see memory picking up, you see a lot of EUV orders coming in.

    我們發布了積壓工作,因為它可以讓您對業務中發生的事情有一個不錯的結構性視圖;你會看到內存在增加,你會看到很多 EUV 訂單進來。

  • But we always say that just merely looking at bookings or backlog should not be your main input barometer in the outlook because as you know, the order at the end of the day in particular for the more mature business is merely an administrative act.

    但我們總是說,僅僅查看預訂或積壓不應該是您在前景中的主要輸入晴雨表,因為如您所知,一天結束時的訂單,特別是對於更成熟的業務,只是一種行政行為。

  • We have VPAs with all of our customers and every other week we get a detailed sales forecast and order forecast against that and that is what's giving us the confidence in the numbers.

    我們與所有客戶都有 VPA,每隔一周我們就會得到一份詳細的銷售預測和訂單預測,這就是讓我們對數字充滿信心的原因。

  • So, we were not the slightest bit disappointed about bookings last quarter.

    因此,我們對上個季度的預訂並沒有絲毫失望。

  • Operator

    Operator

  • Timothy Arcuri.

    蒂莫西·阿庫裡。

  • Timothy Arcuri - Analyst

    Timothy Arcuri - Analyst

  • Timothy Arcuri, Cowen & Company.

    蒂莫西·阿庫裡,考恩公司。

  • Wolfgang, first of all in the presentation on the metrics around EUV, you definitely showed some continued improvement in availability.

    Wolfgang,首先在關於 EUV 指標的介紹中,您肯定展示了可用性的一些持續改進。

  • You had two tools that showed a four week average of greater than 90% versus one last quarter, but there was no improvement in productivity.

    與上一季度相比,您有兩個工具的 4 周平均值高於 90%,但生產力沒有提高。

  • Is that because it's not your focus right now?

    那是因為這不是你現在的重點嗎?

  • I guess I would have thought maybe you've seen more than just one tool producing 1,500 wafers per day over that three day period.

    我想我會想,在這三天的時間裡,您可能已經看到了不止一種工具每天生產 1,500 片晶圓。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Let me answer that, Tim.

    讓我回答這個問題,蒂姆。

  • First of all, the reason why we put those metrics in there is one, to be able to communicate to you; but also to our customers what we believed at that time when we created those metrics the most relevant milestones were that customers used to get convinced that EUV was going to be the choice of their next generation leading edge litho production.

    首先,我們之所以將這些指標放在那裡,是為了能夠與您交流;但對於我們的客戶來說,我們在創建這些指標時所相信的最相關的里程碑是,客戶過去確信 EUV 將成為他們下一代前沿光刻生產的選擇。

  • Having said that, meeting those targets and not only those targets, it was continued let's say showing off of those targets throughout the year that actually raised the customer's confidence to the level to also publicly state we're going to use EUV and they followed that up with orders as you have seen.

    話雖如此,實現了這些目標,而不僅僅是這些目標,我們繼續說,全年都在炫耀這些目標,這實際上將客戶的信心提高到了公開聲明我們將使用 EUV 的水平,並且他們遵循了這一點如您所見,訂單最多。

  • Now just for your information, we are over 200 wattsorders which will actually provide us way more than 1,500 wafers per day and that's what we've shown the customers.

    現在僅供您參考,我們有超過 200 瓦的訂單,實際上每天將為我們提供超過 1,500 片晶圓,這就是我們向客戶展示的內容。

  • That's all.

    就這樣。

  • It is about the confidence that we will be at high volume production requirements by the time that customers need it and that's what's shown with those targets.

    這是關於我們將在客戶需要時滿足大批量生產要求的信心,這就是這些目標所顯示的。

  • The targets going forward I would like to relate to are the targets that they need for higher volume introduction like I said in my introductory comments.

    正如我在介紹性評論中所說的那樣,我想涉及的未來目標是他們需要進行大量介紹的目標。

  • It's like with Deep UV where we're moving into that in all direction.

    就像深紫外線一樣,我們正朝著各個方向發展。

  • Like Sandeep said, it's business as usual; we will be over if we have to be and we will be over 90% availability when they need it when they start [HVI] production and we'll be at the productivity of wafers per day as specified by the tool specifications, i.e.

    正如 Sandeep 所說,一切照舊。如果必須,我們將結束,當他們開始 [HVI] 生產時,當他們需要時,我們將有超過 90% 的可用性,並且我們將達到工具規格指定的每天晶圓的生產力,即

  • 125 wafers per hour.

    每小時 125 個晶圓。

  • This is where we are and this is why we're absolutely confident that we're going to get there and that's why it is the last time we are going to give you these targeted numbers because those milestones have been met evidenced by their customer orders.

    這就是我們所處的位置,這就是為什麼我們絕對有信心我們會到達那裡,這就是為什麼這是我們最後一次向您提供這些目標數字的原因,因為他們的客戶訂單證明了這些里程碑已經實現.

  • Timothy Arcuri - Analyst

    Timothy Arcuri - Analyst

  • And then as just as it relates to backlog on EUV.

    然後就像它與 EUV 的積壓有關。

  • So you have 18 systems in backlog, you have slots for 12 this year so obviously 6 of those are going to ship next year.

    因此,您有 18 個系統待辦事項,今年您有 12 個插槽,顯然其中 6 個將在明年發貨。

  • Since you have 24 slots give or take next year, does that mean that backlog can only be 24 exiting this year or is the policy such that if you get an order even if it's going to ship 18 months from now, you're going to put in backlog so that the backlog exiting this year can be actually a lot higher than the 24 slots that you have next year?

    由於您明年有 24 個插槽,這是否意味著積壓的訂單今年只能退出 24 個,還是政策規定,即使您收到訂單,即使它要在 18 個月後發貨,您也要放入積壓,以便今年退出的積壓實際上比明年的 24 個插槽高很多?

  • Thanks.

    謝謝。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • That's correct.

    這是正確的。

  • Like I said, probably if you would in 2016 we had 24-month lead time.

    就像我說的,如果你願意在 2016 年,我們可能有 24 個月的交貨時間。

  • That will go down, but it's not going to be 12 months by the end of this year.

    這會下降,但到今年年底不會是 12 個月。

  • So, it's very likely that there are going to be 2019 orders in there.

    因此,那裡很可能會有 2019 年的訂單。

  • Operator

    Operator

  • Amit Harchandani.

    阿米特·哈坎達尼。

  • Amit Harchandani - Analyst

    Amit Harchandani - Analyst

  • Amit Harchandani from Citigroup.

    花旗集團的 Amit Harchandani。

  • Firstly, my question is with respect to the technical milestones that you referred to earlier.

    首先,我的問題是關於您之前提到的技術里程碑。

  • Could you maybe talk about what are the key areas of improvement that you're working on within the tool that need to be completed this year or are on the verge of being completed that would take you to the targets you've talked about for commercial introduction in terms of the technological progress?

    您能否談談您在今年需要完成或即將完成的工具中正在處理的關鍵改進領域,這將帶您實現您談到的商業目標介紹方面的技術進步?

  • And secondly, could you also give us a sense of what's happening in the wider ecosystem particularly around defectivity and pellicles any other components within the ecosystem, if you could kindly share any updates around those?

    其次,您能否讓我們了解更廣泛的生態系統中正在發生的事情,特別是圍繞缺陷和生態系統中任何其他組件的薄膜,如果您可以分享有關這些的任何更新嗎?

  • Thank you.

    謝謝你。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • On the key areas of improvement, it's really focused on lifetime extensions of parts.

    在改進的關鍵領域,它真正專注於零件的壽命延長。

  • So it's the industrialization of those parts, lifetime extensions, taking out some of the quality issues that we know what to do.

    因此,這些零件的工業化、壽命延長、解決一些我們知道該怎麼做的質量問題。

  • That actually brings us to those targets that customers need for high volume introduction, 90% plus availability and productivity at spec.

    這實際上使我們達到了客戶在大批量引入、90% 以上的可用性和生產效率方面所需的目標。

  • On the ecosystem I think two things, resist and pellicles.

    關於生態系統,我認為有兩件事,抗性和防護膜。

  • Resist, good progress and we get progress reports every now and then and over the last couple of weeks we got some good progress reports of photoresist and on photoresist sensitivity, on linage roughness data and information that give customers good confidence that by end of 2018, 2019 we're going to get what we want.

    光刻膠,進展順利,我們時不時地收到進展報告,在過去的幾周里,我們收到了一些關於光刻膠和光刻膠敏感性、線材粗糙度數據和信息的良好進展報告,這些信息讓客戶充滿信心,到 2018 年底, 2019年我們會得到我們想要的。

  • On the pellicle, we have started to outsource the pellicle production to a supplier that actually should make the pellicles for the industry for our customers.

    在防護膜方面,我們已經開始將防護膜的生產外包給一家供應商,該供應商實際上應該為我們的客戶生產該行業的防護膜。

  • Initially there was a process that yielded low because pellicles still had some defects on it on the pellicle itself.

    最初有一個產量很低的過程,因為薄膜本身在薄膜上仍有一些缺陷。

  • As you can imagine if a pellicle is a membrane sitting in front of the photomask, you don't want any a defects on that pellicle because they're big, they will actually print.

    正如您可以想像的那樣,如果薄膜是位於光掩模前面的薄膜,您不希望該薄膜上有任何缺陷,因為它們很大,它們實際上會打印。

  • Now by the end of the year we actually received the first defect free pellicle and also there we were seeing progress that everybody is looking for.

    現在到今年年底,我們實際上收到了第一個無缺陷薄膜,我們也看到了每個人都在尋找的進展。

  • It is not our main concern.

    這不是我們主要關心的問題。

  • What our concern is yes, the supply base of those pellicles will be maturing also so we get a constant flow of defect free pellicles.

    我們擔心的是,這些薄膜的供應基礎也將成熟,因此我們會獲得源源不斷的無缺陷薄膜。

  • But if you look at the progress that we have made in the last six months, that gives us the confidence that also by the time when we need the [H] volume, the HVM requirements, we will be there.

    但是,如果您看看我們在過去六個月中取得的進展,這讓我們有信心,當我們需要 [H] 卷、HVM 要求時,我們也會在那裡。

  • Amit Harchandani - Analyst

    Amit Harchandani - Analyst

  • Just a couple of clarifications on what you said.

    只是對你所說的做一些澄清。

  • Firstly, with respect to the technical progress with respect to your tool.

    首先,關於您的工具的技術進步。

  • But just so that I understand correctly there are all incremental improvements really around lifetime extensions, but there is no radical improvement that you need to do, all of that is behind us in 2016.

    但是,為了我正確理解,在延長壽命方面確實有所有增量改進,但你不需要做根本性的改進,所有這些都在 2016 年落後於我們。

  • That would not be a correct statement to make technically.

    從技術上講,這不是一個正確的陳述。

  • And secondly, is the topic of actinic inspection tool when it comes to EUV behind us or does that still come up in your conversations that you think the workaround is pretty much accepted now by all the customers who are looking to move on with EUV?

    其次,當涉及到 EUV 時,光化檢測工具的話題是否落後於我們,或者在您的對話中是否仍然會出現您認為這種解決方法現在已經被所有希望繼續使用 EUV 的客戶所接受的話題?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • That's correct.

    這是正確的。

  • We do not have any discussions on actinic inspection at this moment and the workarounds that we currently have either through the pellicle use or on-wafer inspection using tools EVM tools.

    我們目前沒有任何關於光化檢查的討論,以及我們目前通過使用 pellicle 或使用工具 EVM 工具進行晶圓上檢查的解決方法。

  • That is really what the solutions are that customers are currently using.

    這就是客戶目前正在使用的解決方案。

  • Now the discussion on actinic inspection tools over time and in the next decade might come back, but we'll see how effectively current solutions are.

    現在,隨著時間的推移和未來十年,關於光化檢測工具的討論可能會捲土重來,但我們將看到當前解決方案的有效性。

  • Operator

    Operator

  • Gareth Jenkins.

    加雷斯·詹金斯。

  • Gareth Jenkins - Analyst

    Gareth Jenkins - Analyst

  • Gareth Jenkins from UBS.

    瑞銀的加雷斯·詹金斯。

  • Just a follow-up on memory, you have a slightly more positive tone on this.

    只是對記憶的跟進,您對此的語氣稍微積極一些。

  • Does this include in addition to the 1980s that you're talking about some KrF business?

    除了 1980 年代,這是否包括您所說的一些 KrF 業務?

  • And secondly, I just wonder whether you could talk about your expectation in terms of conversion of the 7 nanometer node for your large foundry customers from 10 nanometer.

    其次,我只是想知道您是否可以談談您對 10 納米的大型代工客戶轉換 7 納米節點的期望。

  • Thank you.

    謝謝你。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Gareth, could you be a bit more specific on your last question on the conversion, what do you want to know?

    Gareth,你能否更具體地談談關於轉換的最後一個問題,你想知道什麼?

  • Gareth Jenkins - Analyst

    Gareth Jenkins - Analyst

  • I just like to know the sort of level of conversion that you expect between the 10 nanometer and the 7 nanometer node given the similarity or the commonality between the tools.

    鑑於工具之間的相似性或共性,我只是想知道您期望在 10 納米和 7 納米節點之間的轉換級別。

  • So, would you expect it to be more or less than kind of what we saw with prior nodes?

    那麼,您認為它會比我們在之前的節點上看到的更多還是更少?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • On the memory, presumably yes, with only 1980s; we always ship if you add some extra capacity some KrF.

    在內存上,大概是的,只有 1980 年代;如果您添加一些額外的容量,我們總是會發貨一些 KrF。

  • But going back to an earlier question, this is really backing up the installed capacity that was relocated to NAND, which were basically immersion systems where they were upgraded in the NAND space.

    但回到之前的問題,這實際上是在支持遷移到 NAND 的裝機容量,它們基本上是沉浸式系統,它們在 NAND 空間中進行了升級。

  • So it's predominantly NXTs, but we always have some level of capacity space that is in the existing fabs which also need some KrF, but it's largely NXTs.

    所以它主要是 NXT,但我們總是在現有晶圓廠中擁有一定程度的產能空間,這也需要一些 KrF,但主要是 NXT。

  • The level of conversion from 10 nanometer to 7 nanometer.

    從 10 納米到 7 納米的轉換水平。

  • There's always a level of conversion from 10 nanometer to 7 nanometer, which would include upgrades.

    從 10 納米到 7 納米總是有一定程度的轉換,其中包括升級。

  • So the level of commonality from a platform point of view is always there, but it's really the performance on overlay and focus that drives really upgrades.

    因此,從平台的角度來看,通用性水平始終存在,但真正推動真正升級的是覆蓋和焦點上的性能。

  • So when there is a reuse of an existing body in the 10 nanometer space or a previous node on to the new node, then you see upgrade business.

    因此,當 10 納米空間中的現有主體或以前的節點重新使用到新節點時,您就會看到升級業務。

  • And this is a part of the business that we see growing in 2017 where we indeed see the number of upgrades in the logic space, but also in the memory space from let's say previous platforms to the newest specification of the NXT platform really happening and that is part of the business growth that we see in the services and options.

    這是我們在 2017 年看到的業務增長的一部分,我們確實看到了邏輯空間的升級數量,還有內存空間從以前的平台到 NXT 平台的最新規範確實發生了,而且是我們在服務和選項中看到的業務增長的一部分。

  • So not much different than previous nodes, it does lead to a lot of new business in terms of system upgrades.

    所以和之前的節點沒有太大區別,在系統升級方面確實帶來了很多新的業務。

  • Gareth Jenkins - Analyst

    Gareth Jenkins - Analyst

  • Can I just follow-up and just ask what your expectations for the 10 nanometer.

    我能否跟進並詢問您對 10 納米的期望。

  • I think you've always talked about wafer starts from 10 nanometer and 7 nanometer geometries combined.

    我認為您一直在談論從 10 納米和 7 納米幾何形狀組合開始的晶圓。

  • I wondered whether there's been any change in the full process around the starts on 10 nanometer and 7 nanometer?

    我想知道從 10 納米和 7 納米開始,整個過程是否有任何變化?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • No, currently not.

    不,目前沒有。

  • The only thing that we can say is that of course we discuss with customers their business and their plans.

    我們唯一可以說的是,我們當然會與客戶討論他們的業務和計劃。

  • I only can say that the 10 nanometer/7 nanometer confidence that our customers have in being a big node driven by more than just the smartphone applications they're all talking about and it's real because it's about customers in the automotive space, customers in the space that is dealing with artificial intelligence and augmented reality, virtual reality, big data, and big data analytics.

    我只能說 10 納米/7 納米的信心,我們的客戶有信心成為一個大節點,而不僅僅是他們都在談論的智能手機應用程序,這是真實的,因為它是關於汽車領域的客戶,汽車領域的客戶處理人工智能和增強現實、虛擬現實、大數據和大數據分析的空間。

  • They are seeing customer applications in that space and that is driving their confidence on the node sizes and they keep repeating to us that they strongly believe based on what they see and the tape outs that are coming that it's going to be a big node.

    他們在該領域看到客戶應用程序,這推動了他們對節點大小的信心,他們不斷向我們重複,他們堅信根據他們所看到的和即將到來的流片,它將成為一個大節點。

  • Operator

    Operator

  • Francois Meunier.

    弗朗索瓦·穆尼耶。

  • Francois Meunier - Analyst

    Francois Meunier - Analyst

  • Francois Meunier from Morgan Stanley.

    摩根士丹利的弗朗索瓦·穆尼耶。

  • I understand what was the question around the gross margins and like the effects around it, but there is one I would like to understand a bit more.

    我了解毛利率的問題是什麼,並且喜歡它的影響,但有一個我想了解更多。

  • I think you guys have been talking about PPA having a negative impact this year of around EUR90 million.

    我認為你們一直在談論 PPA 對今年的負面影響約為 9000 萬歐元。

  • So it's actually a non-cash impact so like when you guide for 47% gross margin in Q1, actually the cash gross margin is more like 48%, 48.2%, or something for Q1.

    所以這實際上是一個非現金影響,所以當你在第一季度指導 47% 的毛利率時,實際上第一季度的現金毛利率更像是 48%、48.2% 或其他值。

  • Is that the right way to look at it?

    這是正確的看待它的方式嗎?

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • I'll be careful with the cash because there are other cash, non-cash related items; but in principle you're right, we're guiding to about 47%.

    我會小心現金,因為還有其他現金,非現金相關的物品;但原則上你是對的,我們指導的是大約 47%。

  • And if you just look at the two elements, one being the amortization of intangibles, we said that's going to be about EUR40 million per year; but that's linear so it's about EUR10 million.

    如果你只看兩個要素,一個是無形資產的攤銷,我們說這將是每年約 4000 萬歐元;但這是線性的,所以大約是 1000 萬歐元。

  • And then we said there was EUR50 million that result from the revaluation of the inventory to a fair value or market value at the time of closing.

    然後我們說有 5000 萬歐元是由於在交割時將庫存重估為公允價值或市場價值而產生的。

  • We've got to work ourselves through this and as you can imagine, this is going to be a bit more skewed to the front of the year rather than the back of the year and therefore there is as you state a probably closer to 1.5% impact on the gross margin in Q1.

    我們必須自己解決這個問題,正如你可以想像的那樣,這將更傾向於今年的前段而不是年末,因此正如你所說的那樣,可能接近 1.5%對一季度毛利率的影響。

  • Or in other words had we not done the acquisition and the deal with the purchase price allocation, we would have been north of 48% in the first quarter.

    或者換句話說,如果我們沒有完成收購和購買價格分配的交易,我們將在第一季度超過 48%。

  • But I wouldn't associate it with cash and non-cash because there's other stuff.

    但我不會將它與現金和非現金聯繫起來,因為還有其他東西。

  • Operator

    Operator

  • Farhan Ahmad.

    法爾漢·艾哈邁德。

  • Farhan Ahmad - Analyst

    Farhan Ahmad - Analyst

  • Farhan Ahmad, Credit Suisse.

    法爾漢·艾哈邁德,瑞士信貸。

  • I have a question related to EUV.

    我有一個關於 EUV 的問題。

  • What are some of the risk factors that you see going forward?

    您認為未來有哪些風險因素?

  • Is there anything that you have to deliver for EUV to be adopted or should we take these orders as a sign that EUV is now at a point that we can count on its delivery in 2018?

    您是否需要交付任何東西才能使 EUV 被採用,或者我們是否應該將這些訂單視為 EUV 現在處於我們可以指望在 2018 年交付的時間點?

  • And also can you remind us again on what the lead time is for EUV going forward?

    您能否再次提醒我們 EUV 未來的交貨時間是多少?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I think ultimately the proof of the pudding is when the customers give you an unconditional commitment to pay you a lot of money, which I think has happened.

    我認為最終的證明是當客戶無條件地承諾支付給你很多錢時,我認為這已經發生了。

  • I think that decision, which most customers have been public about EUV, when they want to use it and how they want to use it, is following this up by orders.

    我認為大多數客戶已經公開的關於 EUV 的決定,他們想要使用它的時間以及他們想要如何使用它,是通過訂單來跟進的。

  • So, I think it is true.

    所以,我認為這是真的。

  • Somebody asked a question earlier is that what could happen to make 2018 a year where you're not going to fully ship your production capacity.

    早些時候有人問過一個問題,如果 2018 年是你無法完全交付生產能力的一年,可能會發生什麼。

  • I just answered one of the things is customer roadmaps might change, but what they're telling us today and we know the number of layers that they want to use EUV on that we have to use that capacity and only if a customer changes their minds that things will change, but that's not the case today.

    我剛剛回答了其中一件事是客戶路線圖可能會改變,但他們今天告訴我們的是,我們知道他們想要使用 EUV 的層數,我們必須使用該容量,並且只有在客戶改變主意的情況下事情會改變,但今天的情況並非如此。

  • On lead time like I said, lead time 2016 was just (inaudible).

    就像我說的那樣,在交貨時間上,2016 年的交貨時間只是(聽不清)。

  • We had a supply chain that we have to kick out of hibernation.

    我們有一個供應鏈,我們必須擺脫休眠狀態。

  • They're now awake I can assure you so lead times will also compress somewhat also throughout 2017.

    他們現在醒了,我可以向你保證,因此整個 2017 年的交貨時間也會有所縮短。

  • I'd love to have a lead time by the end of the year of about 18 months.

    我很想在年底前有大約 18 個月的交貨時間。

  • Farhan Ahmad - Analyst

    Farhan Ahmad - Analyst

  • And can you talk about how do you see the linearity of 2017?

    您能談談您如何看待 2017 年的線性度嗎?

  • Some of your peers have indicated like there is a stronger first half relative to second half, is that something you see also?

    你的一些同行表示上半場比下半場更強,你也看到了嗎?

  • And related to the China 500,000 wafer starts, is any of it hitting this year or next year?

    與中國50萬片晶圓開工有關,今年或明年有嗎?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • So on the linearity, it's too early to say.

    所以關於線性度,現在說還為時過早。

  • Generally we have lead times of about six months for our tools so customers probably gave us a very clear indication of the next six months, which looks very good.

    一般來說,我們的工具的交貨時間約為六個月,因此客戶可能給我們一個非常明確的未來六個月的指示,這看起來非常好。

  • The rest of the year is a bit driven by expectations and so that's always a bit more uncertain to a certain extent.

    今年剩下的時間有點受預期驅動,所以在一定程度上總是有點不確定。

  • So, that might be the reason why some of our peers focus more on the first half.

    因此,這可能是我們的一些同行更關注上半年的原因。

  • It's just visibility.

    這只是能見度。

  • On China 500,000 wafer starts next year, we don't see 500,000 wafer starts next year, it's too much.

    中國明年開工50萬片,我們看不到明年開工50萬片,太多了。

  • It will be there, but as I said earlier it's going to take a bit of time.

    它會在那裡,但正如我之前所說,這需要一些時間。

  • With some of our customers, we've been talking about building new fabs now for two-and-a-half years.

    兩年半以來,我們一直在與我們的一些客戶討論建立新的晶圓廠。

  • In that same timeframe, our logic customers built the fab and we're shipping tools while we're still talking about the others.

    在同一時間範圍內,我們的邏輯客戶建造了工廠,我們正在運送工具,而我們仍在談論其他工具。

  • So, this just has to kick into a different gear also because 500,000 wafer starts next year is absolutely not what we expect good business.

    所以,這只是需要換一種方式,因為明年啟動 500,000 片晶圓絕對不是我們所期望的好生意。

  • Yes, we will expect shipments into new pedestals for our tools, into fab extensions, and perhaps a new fab; but nothing to the level that you just mentioned.

    是的,我們預計將發貨到我們工具的新基座、晶圓廠擴建部分,也許還有新的晶圓廠;但沒有你剛才提到的水平。

  • Operator

    Operator

  • Jagadish Iyer.

    賈加迪什·艾爾。

  • Jagadish Iyer - Analyst

    Jagadish Iyer - Analyst

  • Jagadish Iyer, Summit Redstone.

    Jagadish Iyer,山頂紅石。

  • First Peter, if you look at your immersion revenue systems, it has been pretty much stable through the last three years and in fact has trended up.

    首先,彼得,如果你看看你的沉浸式收入系統,它在過去三年裡一直非常穩定,而且實際上呈上升趨勢。

  • So I just was wondering as EUV starts to progress, how should we think about the immersion system trajectory over the next 12 to 24 months?

    所以我只是想知道隨著 EUV 開始取得進展,我們應該如何考慮未來 12 到 24 個月的浸沒系統軌跡?

  • And then I have a follow-up.

    然後我有一個跟進。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • The next 12 to 24 months I do believe that when you look at what is driving our customers business is this 10 nanometer logic and it's memory, that still needs those immersion systems.

    在接下來的 12 到 24 個月內,我確實相信,當您看到推動我們客戶業務的是 10 納米邏輯和內存時,仍然需要這些沉浸式系統。

  • So, I think next 12 to 18 months I don't think you will see a lot of change.

    所以,我認為在接下來的 12 到 18 個月內,我認為你不會看到很多變化。

  • Although longer term when complexity of chip design increases, the number of layers will also go up.

    雖然從長遠來看,當芯片設計的複雜性增加時,層數也會增加。

  • Now the increase of the incremental layers are very much the critical ones, which is going to be EUV and as EUV progresses in terms of maturity and productivity, also EUV will grow into the realm of leading edge Deep UV.

    現在增量層的增加是非常關鍵的,這將是EUV,隨著EUV在成熟度和生產力方面的進步,EUV也將成長為領先的深紫外領域。

  • But how you look at it, there's also I think clearly discussed at our Capital Markets Day, immersion and Deep UV will be with us forever and also means over the next 10 years or so it's going to be a very significant part of our business, for the next 12 to 18 months is going to be the majority part and the key part of our business given the fact that those nodes that they are being designed into are the nodes that we're currently using and ramping which is not an EUV node.

    但是你怎麼看,我認為在我們的資本市場日也明確討論過,沉浸式和深紫外線將永遠伴隨著我們,也意味著在接下來的 10 年左右,它將成為我們業務中非常重要的一部分,在接下來的 12 到 18 個月內,這將是我們業務的主要部分和關鍵部分,因為它們被設計成的那些節點是我們目前正在使用的節點,並且不是 EUV 節點.

  • 10 nanometer is an immersion node and the high-teens DRAM is an immersion node.

    10納米是沉浸式節點,高十幾納米的DRAM是沉浸式節點。

  • So, that will be with us for the next 12 to 18 months.

    因此,這將在接下來的 12 到 18 個月內與我們同在。

  • Jagadish Iyer - Analyst

    Jagadish Iyer - Analyst

  • Okay.

    好的。

  • Then briefly so on the six EUV system orders that you got as a VPA, is it fair to conclude that you have met the 7 nanometer initial insertion specification with this key customer?

    然後簡要介紹一下您作為 VPA 獲得的六個 EUV 系統訂單,是否可以得出結論,您已滿足該關鍵客戶的 7 納米初始插入規範?

  • Thanks.

    謝謝。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Yes.

    是的。

  • Operator

    Operator

  • Andrew Gardiner.

    安德魯·加德納。

  • Andrew Gardiner - Analyst

    Andrew Gardiner - Analyst

  • Andrew Gardiner, Barclays.

    安德魯·加德納,巴克萊銀行。

  • Just one on your outlook for 2017.

    只談你對 2017 年的展望。

  • You've given fairly clear messaging around what you see on the logic space and on the memory space and of course services and options continue to grow.

    您已經就您在邏輯空間和內存空間上看到的內容給出了相當清晰的信息,當然服務和選項繼續增長。

  • Just the statement around significant revenue from EUV for the first time.

    只是關於第一次從 EUV 獲得可觀收入的聲明。

  • If I go back to the Capital Markets Day in late October, you seemed to be indicating at that point something on the order of sort of below EUR1 billion mark as a combination of rev rec at shipment as well as the deferred revenue coming through.

    如果我回到 10 月下旬的資本市場日,你似乎在那個時候表明了一些低於 10 億歐元大關的東西,因為出貨時的 rev rec 和遞延收入的組合。

  • Is that still a reasonable assumption given better visibility in how you see EUV trending for this year?

    鑑於您如何看待今年的 EUV 趨勢,這仍然是一個合理的假設嗎?

  • And also within services and options for this year now that HMI is closed and you're in the integration process, what are your expectations for that business over the next couple of quarters?

    此外,由於 HMI 已關閉並且您正處於集成過程中,在今年的服務和選項中,您對接下來幾個季度的業務有什麼期望?

  • Thank you.

    謝謝你。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • EUV, what we said before continues to apply.

    EUV,我們之前所說的繼續適用。

  • We said we can ship a maximum of 13 tools, which is a 12 plus 1 carryover.

    我們說我們最多可以運送 13 件工具,這是 12 加 1 的結轉。

  • Revenue recognition is now close to shipment with shipment for a majority and yes, there will be some catch-up revenue from last year where we shipped systems with no revenue recognition.

    收入確認現在接近發貨,大部分發貨,是的,去年我們發貨的系統沒有收入確認,將會有一些追趕收入。

  • So without tying it down too much, but I think the number will have a [1] in for sure and some of [1.2] is clearly within the realm of possibilities.

    所以不要把它綁得太緊,但我認為這個數字肯定會有 [1] 並且 [1.2] 中的一些顯然在可能性範圍內。

  • Service and field options will continue to grow even if you start with excluding HMI, we grew 7%, 8% this year.

    即使您從不包括 HMI 開始,服務和現場選項也會繼續增長,我們今年增長了 7% 和 8%。

  • And also based on some of Peter's comments on the upgrades, we think it will grow at least by that level, it could grow at 10% or so year-over-year.

    並且基於彼得對升級的一些評論,我們認為它至少會增長到那個水平,它可能會同比增長 10% 左右。

  • And then we have HMI, which are going in for like two months last year and we are not intending to break this out in the future.

    然後我們有 HMI,去年大約有兩個月的時間,我們不打算在未來打破它。

  • But you know from the standalone reporting that this should be somewhere in the EUR200 million zip code that is incremental.

    但是您從獨立報告中知道,這應該在 2 億歐元的郵政編碼中的某個地方,這是增量的。

  • I think you will see us announcing new products during the year, but they will not lead to any significant revenue in the year.

    我想你會看到我們在這一年發布新產品,但它們不會在這一年帶來任何可觀的收入。

  • So EUV, field options and services, and HMI are all growth drivers and then you have the rest of the business that is stable and in some cases up a little bit.

    因此,EUV、現場選項和服務以及 HMI 都是增長驅動力,然後您擁有穩定的其餘業務,在某些情況下會有所增長。

  • So like we said in our prior remarks, it should be a pretty good revenue year in 2017.

    所以就像我們在之前的評論中所說的那樣,2017 年應該是一個不錯的收入年。

  • Operator

    Operator

  • Douglas Smith.

    道格拉斯·史密斯。

  • Douglas Smith - Analyst

    Douglas Smith - Analyst

  • Doug Smith from Agency Partners.

    來自代理合作夥伴的 Doug Smith。

  • I was wondering can you break down the 18 EUV systems backlog into your foundry, memory, and IDM groups?

    我想知道您能否將 18 個 EUV 系統積壓分解為您的代工廠、內存和 IDM 組?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Yes, we could, but we generally say we're not doing it because it will be very customer specific because you could easily say who is who and that's not what I want.

    是的,我們可以,但我們通常說我們不這樣做,因為這將是非常針對客戶的,因為您可以輕鬆說出誰是誰,而這不是我想要的。

  • But there are few memory orders in there and that's just less than a handful and the rest, most is logic and IDM.

    但是那裡的內存訂單很少,而且很少,其餘的大部分是邏輯和IDM。

  • Douglas Smith - Analyst

    Douglas Smith - Analyst

  • Okay.

    好的。

  • And just a clarification, were you saying that the six EUV orders in Q4 were all from one customer?

    澄清一下,你是說第四季度的六個 EUV 訂單都來自一個客戶嗎?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • No.

    不。

  • There were five from one customer, one was an addition.

    一個客戶有五個,一個是附加的。

  • Douglas Smith - Analyst

    Douglas Smith - Analyst

  • Okay.

    好的。

  • So five from one customer?

    所以一個客戶五個?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • One memory customer and one logic customer.

    一位內存客戶和一位邏輯客戶。

  • Douglas Smith - Analyst

    Douglas Smith - Analyst

  • Okay.

    好的。

  • And the one that was in this group of five was what you call the kind of quasi PPN?

    這五人組中的那個就是你所說的準PPN?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I have to correct you, I think that it was all logic.

    我必須糾正你,我認為這都是邏輯。

  • Six were all logic, but with two customers; (inaudible).

    六個都是合乎邏輯的,但有兩個客戶; (聽不清)。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • On the 18 systems, we have said before that it's five customers in total not like only the (inaudible).

    在 18 個系統上,我們之前已經說過,總共有 5 個客戶,而不僅僅是(聽不清)。

  • It's five customers in total that have orders in with us.

    總共有五位客戶向我們下訂單。

  • Douglas Smith - Analyst

    Douglas Smith - Analyst

  • And it's the one that had the five which were I think that you called kind of a quasi PPN?

    是那個有五個我認為你稱之為準 PPN 的?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Yes.

    是的。

  • Craig DeYoung - VP of IR

    Craig DeYoung - VP of IR

  • Ladies and gentlemen, we have time for one last question.

    女士們,先生們,我們有時間回答最後一個問題。

  • So if you are unable to get through, as always feel free to contact the Investor Relations department and we'll get back to you as soon as we possibly can to try to help.

    因此,如果您無法通過,請隨時聯繫投資者關係部門,我們會盡快回复您以提供幫助。

  • Now operator, if we can have the last caller, please.

    現在接線員,如果我們可以接最後一個來電,請。

  • Operator

    Operator

  • Robert Sanders.

    羅伯特·桑德斯。

  • Robert Sanders - Analyst

    Robert Sanders - Analyst

  • Just a question about the 3400.

    一個關於3400的問題。

  • So the shipments that customers have ordered, are you going to upgrade the source to 250 watts at a later stage and is that a free upgrade?

    那麼客戶訂購的出貨量,您是否打算在後期將電源升級到 250 瓦,這是免費升級嗎?

  • And then the second question would just be on the HMI business, it does seem to be tracking below expectations from June when you acquired it.

    然後第二個問題只是關於 HMI 業務,它似乎確實低於您在 6 月份收購它時的預期。

  • I was just wondering what's the update there on the outlook and how that business is tracking?

    我只是想知道前景的更新是什麼以及該業務是如何跟踪的?

  • Thanks.

    謝謝。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • On the 3400, there's no major source upgrades just cranking up the power and so that is not necessary the source is the source and we'll be capable of doing 250 watts or at least it's going to be above 205 watts whereas 250 watts doesn't really matter because 205 watts worth 125 wafers per hour and that's the throughput specification.

    在 3400 上,沒有主要的源升級,只是提高功率,因此源不是源是必要的,我們將能夠做到 250 瓦,或者至少它將高於 205 瓦,而 250 瓦則沒有t 真的很重要,因為 205 瓦相當於每小時 125 個晶圓,這就是吞吐量規格。

  • But 250 watts by the way is also not with this particular source design is not our end target.

    但順便說一句,250 瓦也不是這種特殊的源設計,也不是我們的最終目標。

  • I think with this particular design, we can go higher, we can go 300 watts and above.

    我認為通過這種特殊的設計,我們可以走得更高,我們可以達到 300 瓦及以上。

  • On HMI, below expectations.

    在 HMI 上,低於預期。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • There is no significant difference in what we have seen already during our due diligence time and since this is a growing business, more importantly the roadmap going forward is well aligned not only within us and HMI more importantly also with the technology folks at the customers.

    我們在盡職調查期間已經看到的情況沒有顯著差異,由於這是一項不斷發展的業務,更重要的是,未來的路線圖不僅在我們內部和 HMI 內部非常一致,更重要的是與客戶的技術人員保持一致。

  • So, we're looking forward to a significant opportunity like we said at our October call which could be up to a EUR1 billion by 2020.

    因此,我們期待著一個重要的機會,就像我們在 10 月電話會議上所說的那樣,到 2020 年可能達到 10 億歐元。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Rob, you have to remember that in 2017 we still have the majority of the HMI sales or you would call the standalone HMI sales.

    Rob,您必須記住,在 2017 年,我們仍然擁有 HMI 銷售的大部分,否則您會稱其為獨立 HMI 銷售。

  • What we're really looking at is you may remember the presentation that we had at the time of the acquisition that the area where we believe we will have a significant growth opportunity is the combination of the holistic lithography or the computational lithography competencies of ASML with the HMI capabilities creating a new product.

    我們真正關注的是您可能還記得我們在收購時所做的演示,我們認為我們將擁有重大增長機會的領域是整體光刻或 ASML 的計算光刻能力與HMI 功能創建新產品。

  • That is where we think there is going to be a big market and a big growth opportunity and that's not for 2017, it will be 2018 onwards.

    這就是我們認為將有一個大市場和一個巨大增長機會的地方,這不是 2017 年,而是 2018 年以後。

  • Craig DeYoung - VP of IR

    Craig DeYoung - VP of IR

  • Thank you, everybody.

    謝謝大家。

  • On behalf of ASML's Board of Management, we'd like to thank you for joining us in the call today.

    我們謹代表 ASML 管理委員會感謝您今天加入我們的電話會議。

  • And operator, if we could have your formal conclusion to the call, we'd appreciate it.

    接線員,如果我們能正式結束通話,我們將不勝感激。

  • Thank you.

    謝謝你。

  • Operator

    Operator

  • Ladies and gentlemen, this concludes the ASML 2016 fourth quarter and annual financial results conference call.

    女士們先生們,ASML 2016 年第四季度和年度財務業績電話會議到此結束。

  • Thank you for participating.

    感謝您的參與。

  • You may now disconnect your line.

    您現在可以斷開您的線路。