艾司摩爾 (ASML) 2017 Q2 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Ladies and gentlemen, thank you for standing by.

    女士們,先生們,謝謝你們的支持。

  • Welcome to ASML 2017 Second Quarter Financial Results Conference Call on July 19, 2017.

    歡迎參加 2017 年 7 月 19 日舉行的 ASML 2017 年第二季度財務業績電話會議。

  • (Operator Instructions)

    (操作員說明)

  • I would now like to turn the conference call over to Mr. Craig DeYoung.

    我現在想將電話會議轉交給 Craig DeYoung 先生。

  • Please go ahead, sir.

    請繼續,先生。

  • Craig DeYoung - VP of IR - ASML Tempe

    Craig DeYoung - VP of IR - ASML Tempe

  • Thank you, Peter.

    謝謝你,彼得。

  • Good afternoon, and good morning, ladies and gentlemen.

    下午好,早上好,女士們,先生們。

  • This is Craig DeYoung, Vice President of Investor Relations here at ASML.

    我是 ASML 投資者關係副總裁 Craig DeYoung。

  • Joining me today, as always, from our headquarters here in Veldhoven in Netherlands is our CEO, Peter Wennink; and our CFO, Wolfgang Nickl.

    今天和往常一樣,在我們位於荷蘭維爾德霍芬的總部加入我的是我們的首席執行官 Peter Wennink;和我們的首席財務官 Wolfgang Nickl。

  • As a reminder, the subject of today's call is ASML's Q2 2017 results.

    提醒一下,今天電話會議的主題是 ASML 2017 年第二季度的業績。

  • The length of the call will be 60 minutes, and questions will be taken in the order that they are received.

    通話時間為 60 分鐘,提問將按照收到的順序進行。

  • This call is also being broadcast live over the Internet at asml.com.

    該電話也通過互聯網在 asml.com 上進行直播。

  • A transcript of management's opening remarks and a replay of the call will be available on our website shortly following the conclusion of the call.

    電話會議結束後不久,我們將在我們的網站上提供管理層的開幕致辭和電話會議的重播。

  • Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities laws.

    在我們開始之前,我想提醒聽眾,管理層在本次電話會議期間發表的評論將包括聯邦證券法含義內的前瞻性陳述。

  • These forward-looking statements involve material risks and uncertainties.

    這些前瞻性陳述涉及重大風險和不確定性。

  • For a discussion of risk factors, I encourage you to review the safe harbor statement contained in today's press release and presentation found on our website at asml.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

    對於風險因素的討論,我鼓勵您查看我們網站 asml.com 上的今天新聞稿和演示文稿中包含的安全港聲明,以及 ASML 提交給證券和交易委員會。

  • With that, I'd like to turn the call over to Peter Wennink for a brief introduction.

    有了這個,我想把電話轉給 Peter Wennink 進行簡要介紹。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Good morning and good afternoon, ladies and gentlemen, and thank you for joining us for our Q2 results conference call.

    女士們,先生們,早上好,下午好,感謝您參加我們的第二季度業績電話會議。

  • Before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and some commentary on the recent quarter as well as provide our view of the coming quarters.

    在我們開始問答環節之前,沃爾夫岡和我想對最近一個季度進行概述和一些評論,並提供我們對未來幾個季度的看法。

  • Wolfgang will start with a review of our second quarter financial performance with added comments on our short-term outlook, and I will complete the introduction with some additional comments on the current business environment and our future business outlook.

    Wolfgang 將從回顧我們第二季度的財務業績開始,並對我們的短期前景發表評論,我將在介紹的最後,對當前的商業環境和我們未來的商業前景發表一些額外的評論。

  • Wolfgang, if you will?

    沃爾夫岡,你願意嗎?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Thank you, Peter, and welcome everyone.

    謝謝你,彼得,歡迎大家。

  • I would like to first highlight some of the second quarter financial accomplishments and then provide our view for the coming quarter.

    我想首先強調第二季度的一些財務成就,然後提供我們對下一季度的看法。

  • Q2 net sales came in at EUR 2.1 billion.

    第二季度淨銷售額為 21 億歐元。

  • Net system sales accounted for EUR 1.38 billion, showing another quarter of increasing memory business, which is now at 54% of net system sales, and also a strong quarter of logic sales, which represented the remaining 46%.

    系統淨銷售額為 13.8 億歐元,顯示內存業務又一個季度增長,目前佔系統淨銷售額的 54%,邏輯銷售額也表現強勁,佔其餘 46%。

  • Installed base revenue for the quarter came in stronger than expected at a level of EUR 717 million, driven by major DUV and Holistic Lithography upgrades.

    受主要 DUV 和整體光刻技術升級的推動,本季度安裝基數收入達到 7.17 億歐元,強於預期。

  • The first half of the year, our total installed base revenue is already at EUR 1.45 billion compared to a full year sales of EUR 2.12 billion in 2016.

    今年上半年,我們的總安裝基礎收入已經達到 14.5 億歐元,而 2016 年全年銷售額為 21.2 億歐元。

  • Gross margin for the quarter came in at 45%, slightly higher than guided, driven by a higher top line and a favorable mix.

    本季度的毛利率為 45%,略高於指導水平,這得益於較高的收入和有利的組合。

  • Overall OpEx came in as guided, although R&D expenses came in slightly lower at EUR 313 million and SG&A expenses came in slightly higher at EUR 102 million, driven by litigation expenses.

    在訴訟費用的推動下,總體運營支出按指導計算,儘管研發費用略低,為 3.13 億歐元,SG&A 費用略高,為 1.02 億歐元。

  • Turning to the balance sheet.

    轉向資產負債表。

  • Quarter-over-quarter cash, cash equivalents and short-term investments came in at EUR 2.51 billion.

    季度現金、現金等價物和短期投資為 25.1 億歐元。

  • As a reminder, in Q2, we had several extraordinary cash outflows, which have brought the overall cash balance back to our target level.

    提醒一下,在第二季度,我們有幾筆非同尋常的現金流出,這使整體現金餘額回到了我們的目標水平。

  • We've paid a dividend of EUR 1.20 per ordinary share or approximately EUR 517 million in total to our shareholders.

    我們已向股東支付每股普通股 1.20 歐元或總計約 5.17 億歐元的股息。

  • We also have repaid a maturing bond with an outstanding balance of EUR 238 million.

    我們還償還了未償還餘額為 2.38 億歐元的到期債券。

  • And lastly, we have closed the acquisition of a 24.9% interest in Carl Zeiss SMT during the quarter for EUR 1 billion.

    最後,我們在本季度以 10 億歐元完成了對 Carl Zeiss SMT 24.9% 股權的收購。

  • Moving on to the order book.

    轉到訂單簿。

  • Q2 system bookings came in at EUR 2.37 billion, including orders for 8 3400 EUV systems from 2 customers.

    第二季度系統預訂額為 23.7 億歐元,其中包括來自 2 個客戶的 8 3400 EUV 系統訂單。

  • Six of the EUV orders came from one customer for use in both logic and DRAM.

    六個 EUV 訂單來自一位客戶,用於邏輯和 DRAM。

  • Total bookings were almost EUR 500 million higher than in the previous quarter.

    總預訂量比上一季度增加了近 5 億歐元。

  • Breakdown of the bookings of 60% logic and 40% memory is the same as in the previous quarter.

    60% 邏輯和 40% 內存的預訂明細與上一季度相同。

  • Strong bookings in the logic sector are in support of the 10-nanometer ramps and in support of the EUV insertion at the 7-nanometer node.

    邏輯領域的強勁預訂支持 10 納米坡道,並支持在 7 納米節點插入 EUV。

  • Memory bookings, mainly in DUVs, strengthened further from its strong Q1 level, supporting an expected 50% year-on-year revenue growth in the memory sector in 2017.

    內存訂單(主要是 DUV)較第一季度的強勁水平進一步增強,支持 2017 年內存行業預計同比增長 50% 的收入。

  • The continuing order flow for EUV systems increases our EUV backlog to 27 systems valued at EUR 2.8 billion.

    EUV 系統的持續訂單使我們的 EUV 積壓訂單增加到 27 個系統,價值 28 億歐元。

  • Our overall systems backlog now stands at a record EUR 5.35 billion.

    我們的整體系統積壓現在達到創紀錄的 53.5 億歐元。

  • After 2 strong quarters in 2017, in combination with a record order book, we are now expecting full year net sales, which are up approximately 25% from our previous record revenue of EUR 6.8 billion in 2016.

    在 2017 年的兩個強勁季度之後,再加上創紀錄的訂單,我們現在預計全年淨銷售額將比我們之前 2016 年創紀錄的 68 億歐元收入增長約 25%。

  • 2017 revenue is driven by continued strong demand for our entire portfolio, driven by both logic and memory.

    2017 年的收入是由對我們整個產品組合的持續強勁需求推動的,同時受到邏輯和內存的推動。

  • With that, I would like to turn to our expectations and guidance for the third quarter of 2017.

    有了這個,我想談談我們對 2017 年第三季度的預期和指導。

  • We expect continuing sales strength in Q3 with total net sales of around EUR 2.2 billion, including an estimated EUR 300 million of EUV revenue.

    我們預計第三季度的銷售將持續強勁,總淨銷售額約為 22 億歐元,其中包括估計的 3 億歐元的 EUV 收入。

  • We plan to ship 3 NXE:3400s in the September quarter.

    我們計劃在 9 月季度出貨 3 台 NXE:3400。

  • Our EUV shipment plan for the full year remains at 12 systems and is back-end loaded.

    我們全年的 EUV 出貨計劃仍然是 12 個系統,並且是後端加載的。

  • We expect our Q3 installed base revenue to come in around EUR 600 million, driven by continued demand for Holistic Lithography options, high-value upgrades and our growing installed base.

    由於對整體光刻選項的持續需求、高價值升級和我們不斷增長的安裝基數,我們預計第三季度安裝基數收入將達到約 6 億歐元。

  • For the full year, we expect our installed base revenue to be up by approximately 20% versus the 2016 levels.

    全年,我們預計我們的安裝基礎收入將比 2016 年的水平增長約 20%。

  • Gross margin for Q3 is expected to be around 43%.

    第三季度的毛利率預計在 43% 左右。

  • Excluding EUV systems, gross margin is approximately going to be at the same levels as in Q1 and in Q2.

    不包括 EUV 系統,毛利率將與第一季度和第二季度大致相同。

  • R&D expenses for Q3 will be around EUR 315 million, and SG&A is expected to come in at about EUR 105 million.

    第三季度的研發費用約為 3.15 億歐元,SG&A 預計約為 1.05 億歐元。

  • SG&A includes expected increases in legal expenses.

    SG&A 包括法律費用的預期增長。

  • Finally, ASML will resume share buybacks in Q3.

    最後,ASML 將在第三季度恢復股票回購。

  • As a reminder, we had paused our share buyback program for about 1 year to acquire HMI and the minority share of 24.9% in Carl Zeiss SMT.

    提醒一下,我們已暫停股票回購計劃約 1 年,以收購 HMI 和 Carl Zeiss SMT 24.9% 的少數股權。

  • We have EUR 1.1 billion remaining for 2017 from our previously announced share buyback program.

    我們之前宣布的股票回購計劃在 2017 年剩餘 11 億歐元。

  • We do not expect to execute the entire remainder of the program in Q3 and Q4.

    我們預計不會在第三季度和第四季度執行該計劃的全部剩餘部分。

  • In line with our policy, we will return excess cash to our shareholders through share buybacks, and we will make announcements on future share buyback programs when appropriate.

    根據我們的政策,我們將通過股票回購將多餘的現金返還給我們的股東,我們將在適當的時候發布關於未來股票回購計劃的公告。

  • With that, I'd like to turn the call back over to you, Peter.

    有了這個,我想把電話轉給你,彼得。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Thank you, Wolfgang.

    謝謝你,沃爾夫岡。

  • As Wolfgang has highlighted, our business continues to perform very well.

    正如沃爾夫岡所強調的,我們的業務繼續表現良好。

  • We expect our positive momentum to continue throughout the year based on the market environment and the related strong demand for our products.

    基於市場環境和對我們產品的相關強勁需求,我們預計全年將繼續保持積極勢頭。

  • We should deliver another record year with net sales growth expected at about 25%, representing one of the strongest gains in annual revenues in our history.

    我們應該會再創紀錄,淨銷售額增長預計約為 25%,這是我們歷史上年收入增長最強勁的一年。

  • While Wolfgang reviewed our current quarter performance and outlook for the coming quarter, I would like to provide some commentary on the longer-term outlook of our market drivers, followed by an update on the progress and plans for our product groups.

    雖然 Wolfgang 回顧了我們當前季度的業績和下一季度的展望,但我想就我們的市場驅動因素的長期前景發表一些評論,然後更新我們產品組的進展和計劃。

  • Let me start by making some comments on the market drivers and the impact on litho demand.

    首先讓我對市場驅動因素和對光刻需求的影響發表一些評論。

  • As we have moved further into the year, the demand for memory has continued to strengthen, especially noticeable in DRAM.

    隨著我們進一步進入今年,對內存的需求繼續增強,尤其是在 DRAM 中尤為明顯。

  • We are on track to see our memory revenue grow by around 50% year-on-year, creating the highest memory demand in history of ASML.

    我們有望看到我們的內存收入同比增長約 50%,創造 ASML 歷史上最高的內存需求。

  • However, we need to remember that this growth in spend coming off 1.5 years of wafer capacity reduction due to significant underspend in 2016 and relocations of leading-edge tools to 3D NAND and that combined with a significant end market demand growth this year.

    然而,我們需要記住,由於 2016 年的支出顯著不足以及尖端工具向 3D NAND 的遷移以及今年終端市場需求的顯著增長,晶圓產能減少了 1.5 年,因此我們需要記住這一支出增長。

  • In 3D NAND, the industry continues to witness a number of greenfield fabs that are ramping, which is driving very strong lithography growth.

    在 3D NAND 領域,該行業繼續見證大量新建晶圓廠的發展,這推動了非常強勁的光刻機增長。

  • Logic demand, where our tools is expected to grow around 15% year-on-year, driven by the continued ramp of 10 nanometer as well as the start of the 7-nanometer node, which is particularly driving the logic growth this year as it concentrates on the planned EUV adoption.

    邏輯需求,我們的工具預計將同比增長 15% 左右,這是由 10 納米的持續增長以及 7 納米節點的啟動推動的,這尤其推動了今年的邏輯增長,因為它專注於計劃中的 EUV 採用。

  • With regards to China, we've been doing business in this region for over 25 years and currently have over 600 employees in 11 cities supporting an installed base of more than 400 lithography systems.

    在中國,我們在該地區開展業務已超過 25 年,目前在 11 個城市擁有 600 多名員工,支持 400 多台光刻系統的安裝基礎。

  • We also have 2 R&D centers in China and are working to deepen our relationship with Chinese semiconductor industry customers by collaborating with industry consortia.

    我們在中國也有 2 個研發中心,並通過與行業聯盟合作,努力加深與中國半導體行業客戶的關係。

  • We signed a memorandum of understanding with the Shanghai Integrated Circuit Research and Development Center, ICRD, a public research consortium dedicated to the advancements of the semiconductor industry in China, set up a jointly owned world-class application and training center in Shanghai.

    我們與上海集成電路研發中心簽署了諒解備忘錄,ICRD是一個致力於中國半導體產業發展的公共研究聯盟,在上海建立了一個共同擁有的世界級應用和培訓中心。

  • We have seen continued revenue growth for China -- from China over the last 5 years by both domestic Chinese as well as nondomestic companies, and we see a lot of opportunity for growth in this region going forward.

    我們看到中國的收入持續增長——過去 5 年中國本土公司和非本土公司都來自中國,我們看到該地區未來有很多增長機會。

  • However, as we mentioned on earlier occasions, the speed with which this growth will translate into sales and earnings is dependent on the ability of our new Chinese semiconductor customers, who effectively bring qualified and competitive products in volume to the market.

    然而,正如我們之前提到的,這種增長轉化為銷售額和收益的速度取決於我們新的中國半導體客戶的能力,他們有效地將合格和有競爭力的產品大量推向市場。

  • This might take some time.

    這可能需要一些時間。

  • We are currently in discussions with 5 domestic logic and memory customers, which per published fab plans, that translates into a lithography opportunity of more than EUR 3 billion.

    我們目前正在與 5 家國內邏輯和內存客戶進行討論,根據公佈的晶圓廠計劃,這將轉化為超過 30 億歐元的光刻機會。

  • This opportunity led last quarter to bookings from a new Chinese domestic memory customer with shipments later this year.

    這一機會導致上個季度一位新的中國國內內存客戶預訂了今年晚些時候出貨的訂單。

  • In summary, we will see significant growth in memory demand versus prior year, and logic will build further on the healthy demand level seen in 2016, largely driven by EUV and China providing a meaningful medium-term growth opportunity.

    總而言之,我們將看到內存需求與去年相比顯著增長,邏輯將進一步建立在 2016 年的健康需求水平之上,這主要受 EUV 和中國提供有意義的中期增長機會的推動。

  • Installed base revenue continues to grow at an even greater rate than last year, driven by broad-based adoption of high-value field options and upgrades.

    在廣泛採用高價值現場選項和升級的推動下,安裝基礎收入繼續以比去年更快的速度增長。

  • And finally, demand will be further accelerated with the EUV adoption as customers start ramping this technology and volume production.

    最後,隨著客戶開始增加這項技術和批量生產,隨著 EUV 的採用,需求將進一步加速。

  • Our current view is that the positive business trends that we're seeing in 2017 are likely to continue as we enter 2018.

    我們目前的觀點是,隨著我們進入 2018 年,我們在 2017 年看到的積極業務趨勢可能會持續下去。

  • On the ASML product side, let me start with an update on our EUV business.

    在 ASML 產品方面,讓我先介紹一下我們的 EUV 業務。

  • In EUV, we continue to make progress as planned.

    在 EUV 方面,我們繼續按計劃取得進展。

  • We now have demonstrated all key performance specifications on our NXE:3400 system, and this includes a throughput of 125 wafers per hour.

    我們現在已經展示了 NXE:3400 系統的所有關鍵性能規格,其中包括每小時 125 個晶圓的吞吐量。

  • We also demonstrated 250 watts of a source power, enabling productivity improvements beyond 125 wafers per hour.

    我們還展示了 250 瓦的源功率,使生產率提高到每小時 125 片以上。

  • Availability continues to make progress towards the 90%-plus target with continued focus on reducing the variability.

    可用性繼續朝著 90% 以上的目標取得進展,並繼續專注於減少可變性。

  • We now have a system configuration that provides all of the agreed product specifications, which will enable us to now focus our work on executing on the plant availability improvements that will drive broad-based EUV insertion in mass production.

    我們現在擁有一個系統配置,可提供所有商定的產品規格,這將使我們現在能夠專注於執行工廠可用性改進,這將推動大規模生產中廣泛的 EUV 插入。

  • In addition, clear progress on the ecosystem continues as communicated by many of our customers.

    此外,正如我們的許多客戶所傳達的那樣,生態系統的明顯進展仍在繼續。

  • We have produced 0-defect pellicles, and our customers continue to make progress on photoresist sensitivity, enabling higher wafer per hour productivity.

    我們生產了零缺陷薄膜,我們的客戶繼續在光刻膠靈敏度方面取得進展,從而實現更高的晶圓每小時生產率。

  • Based on this progress, customers are now more and more confident inserting EUV technology in manufacturing, as clearly indicated by the continued order flow.

    基於這一進步,客戶現在越來越有信心將 EUV 技術引入製造,這一點從持續的訂單流中可以清楚地看出。

  • Our deep UV business is expected to grow this year of a record revenue in 2016, fueled by the demand for our immersion and KrF products in both logic and memory.

    我們的深紫外業務預計今年將在 2016 年實現創紀錄的收入增長,這得益於對我們在邏輯和內存方面的沉浸式和 KrF 產品的需求。

  • We announced our latest TWINSCAN NXT:2000 immersion system at SEMICON this past week, and this new deep UV immersion system reaches several hardware innovations that to deliver improved imaging and overlay performance in support of aggressive lithography requirements on future nodes, including mix and match with EUV.

    上週,我們在 SEMICON 上宣布了我們最新的 TWINSCAN NXT:2000 浸沒系統,這種新的深紫外浸沒系統實現了多項硬件創新,可提供改進的成像和覆蓋性能,以支持未來節點的激進光刻要求,包括與極紫外。

  • We're also seeing exceptional demand with our KrF products, notably in 3D NAND.

    我們還看到對我們的 KrF 產品的特殊需求,特別是在 3D NAND 中。

  • In Holistic Lithography, where we bring together scanner, metrology and software to provide high-value process control solutions for our customers, we expect sales to grow about 50% from last year.

    在整體光刻領域,我們將掃描儀、計量和軟件結合在一起,為我們的客戶提供高價值的過程控制解決方案,我們預計銷售額將比去年增長約 50%。

  • We have announced our latest metrology system, the YieldStar 365 -- sorry, 375, featuring new optics technology that generates more accurate data at the highest speed, providing increased quality data to feed the process control systems.

    我們發布了我們最新的計量系統 YieldStar 365——抱歉,375,它採用了新的光學技術,能夠以最快的速度生成更準確的數據,為過程控制系統提供更高質量的數據。

  • In addition to YieldStar metrology systems, we're also shipping HMI e-beam systems in support of 3D NAND voltage contrast and defect inspection applications at both memory and logic customers.

    除了 YieldStar 計量系統外,我們還提供 HMI 電子束系統,以支持內存和邏輯客戶的 3D NAND 電壓對比和缺陷檢測應用。

  • Product integration of HMI is progressing well with Pattern Fidelity Metrology e-beam tools being evaluated by customers, which enables Pattern Fidelity Control capability in support of the 7-nanometer node.

    HMI 的產品集成進展順利,客戶正在評估的 Pattern Fidelity Metrology 電子束工具,這使得 Pattern Fidelity Control 功能支持 7 納米節點。

  • To drive -- through further drive productivity improvements in the e-beam area, we're in the process of developing a multi-e-beam system that combines leading edge e-beam technology with ASML's unique stage and computational lithography technology.

    為了推動——通過進一步推動電子束領域的生產力提高,我們正在開發一種多電子束系統,該系統將領先的電子束技術與 ASML 獨特的舞台和計算光刻技術相結合。

  • Finally, we also closed the acquisition of a 24.9% interest in Carl Zeiss SMT.

    最後,我們還完成了對 Carl Zeiss SMT 24.9% 股權的收購。

  • The main objective of this agreement is to strengthen our world-standing partnership with Carl Zeiss and facilitate the development of next-generation EUV lithography system, which we call high NA, due in the first few years of the next decade.

    該協議的主要目標是加強我們與 Carl Zeiss 的世界級合作夥伴關係,並促進下一代 EUV 光刻系統的開發,我們稱之為高 NA,預計在未來十年的頭幾年。

  • This technology should enable the semiconductor industry to produce much higher performance microchips at a lower cost, supporting customer road maps throughout the next decade.

    這項技術應該使半導體行業能夠以更低的成本生產性能更高的微芯片,從而支持未來十年的客戶路線圖。

  • So in summary, great first half of the year with strong industry demand across all market segments, translating to very strong growth across our complete product and service portfolio for 2017.

    總而言之,今年上半年非常好,所有細分市場的行業需求都非常強勁,這轉化為我們 2017 年完整產品和服務組合的強勁增長。

  • In previous quarters, we mentioned that we felt we passed an EUV inflection point.

    在前幾個季度,我們提到我們覺得我們已經過了一個 EUV 拐點。

  • Now we see volume orders from all segments of the industry clearly marking an increased rate of adoption with order flow expected to continue, providing significant EUV growth in the coming years.

    現在,我們看到來自行業各個領域的大量訂單明顯標誌著採用率的提高,預計訂單流將繼續,在未來幾年提供顯著的 EUV 增長。

  • As mentioned earlier, our current view is that the positive business trends that we're seeing in 2017 are likely to continue as we enter into 2018.

    如前所述,我們目前的觀點是,隨著我們進入 2018 年,我們在 2017 年看到的積極業務趨勢可能會持續下去。

  • And with that, we'll be happy to take your questions.

    有了這個,我們很樂意回答您的問題。

  • Craig DeYoung - VP of IR - ASML Tempe

    Craig DeYoung - VP of IR - ASML Tempe

  • Thank you, Peter.

    謝謝你,彼得。

  • Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A sessions.

    女士們,先生們,接線員將立即指導您了解問答環節的協議。

  • (Operator Instructions)

    (操作員說明)

  • Now Peter, operator Peter, could you -- we have the final instructions and then the first question, please?

    現在彼得,接線員彼得,你能不能——我們有最後的說明,然後是第一個問題,好嗎?

  • Operator

    Operator

  • (Operator Instructions) The first question comes from David Mulholland.

    (操作員說明)第一個問題來自 David Mulholland。

  • David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

    David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

  • This is David from UBS.

    這是瑞銀的大衛。

  • Just -- first question, just on the EUV.

    只是——第一個問題,只是關於 EUV。

  • Obviously, very good progress in the quarter, and you've put a slide in the presentation showing, potentially, for 15 to 16 layers that you could see EUV adoption up for 7 nanometers.

    顯然,本季度取得了非常好的進展,並且您在演示文稿中放置了一張幻燈片,顯示可能有 15 到 16 層,您可以看到 EUV 採用了 7 納米。

  • I just wondered if you could let us know has there been any changes on your base case assumption for how many layers you could see the adoption of 7 and maybe even 5-nanometer as well in logic, and then I have a follow-up.

    我只是想知道您是否可以讓我們知道您的基本情況假設是否有任何變化,即您可以看到在邏輯上採用 7 納米甚至 5 納米的層數,然後我會進行跟進。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Okay.

    好的。

  • I don't think we currently have any reason to change our base case assumptions.

    我認為我們目前沒有任何理由改變我們的基本情況假設。

  • We put that in there because the additional 5 or 6 layers that you have identified on top of the 10 that has been communicated earlier, are really layers that, in the discussion with the customers, we have identified as potential additional layers.

    我們把它放在那裡是因為您在之前溝通的 10 層之上確定的額外 5 或 6 層實際上是在與客戶的討論中,我們已經確定為潛在的額外層的層。

  • Now that really depends on the speed with which we will be able to mature our EUV systems and drive the productivity up and the availability.

    現在,這實際上取決於我們能夠使 EUV 系統成熟並提高生產力和可用性的速度。

  • Because it's all a matter of cost, and I think the initial 10 are driven, you could say, by the lithographic needs.

    因為這完全是成本問題,而且我認為最初的 10 個是由光刻需求驅動的。

  • You just need to use EUV.

    你只需要使用 EUV。

  • The additional 5 to 6 will be a function of productivity and the maturity of the tool.

    額外的 5 到 6 個將取決於生產力和工具的成熟度。

  • David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

    David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

  • That's great.

    那太棒了。

  • And then secondly, just one on the commentary on the installed base and field option sales.

    其次,僅對已安裝基礎和現場選項銷售的評論之一。

  • You obviously have very strong growth in H1.

    你顯然在上半年有非常強勁的增長。

  • And given the commentary that you've given for the full year, maybe my math's wrong, but it would suggest a bit of a slowdown in the absolute level in the second half.

    鑑於您對全年的評論,也許我的數學是錯誤的,但這表明下半年的絕對水平會有所放緩。

  • I wonder if you could just comment on why you feel that's the case or maybe correct if I've got something wrong on that.

    我想知道您是否可以評論一下您為什麼會這樣認為,或者如果我對此有誤,也許是正確的。

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Now David, this is Wolfgang.

    現在大衛,這是沃爾夫岡。

  • First of all, you're right.

    首先,你是對的。

  • I mean, the -- we had an extremely strong start into the year.

    我的意思是,我們今年的開局非常強勁。

  • We have at EUR 1.45 billion, was a EUR 2.1 billion for the total last year.

    我們有 14.5 億歐元,去年的總額為 21 億歐元。

  • So it's incredibly strong start.

    所以這是一個非常強大的開始。

  • We think we'll be up 20%.

    我們認為我們將上漲 20%。

  • Be reminded, last year, we said we think we grow that business by approximately -- at a run rate of 10%, which we were very close to last year.

    請注意,去年,我們說我們認為我們的業務增長了大約 - 以 10% 的運行速度,我們非常接近去年。

  • But this year, it's 20% [up].

    但今年,上漲了 20%。

  • Within that, you have approximately half service and half options.

    在此範圍內,您大約有一半的服務和一半的選擇。

  • The service piece is pretty stable with an upward trend.

    服務部分相當穩定,呈上升趨勢。

  • It's a function of the installed base to the last degree.

    這是安裝基數的最後一個功能。

  • The upgrades are a little bit more volatile, and that has largely to do with when our customers can afford to take the upgrade.

    升級更不穩定,這在很大程度上與我們的客戶何時能夠負擔得起升級有關。

  • Because you've got to remember, some of these upgrades take their machines down for 5 weeks or so.

    因為您必須記住,其中一些升級會使他們的機器停機 5 週左右。

  • And when they're firing on all cylinders, they -- even though they see the great -- even pegged off the upgrade down the road, in the short run, for their yields, they can just simply not afford to take the machines down.

    當他們全力以赴時,他們——即使他們看到了偉大的——甚至在短期內與升級掛鉤,因為他們的產量,他們根本無法承受讓機器停機的代價.

  • And that is why, in the second half, the options piece is coming down a little bit.

    這就是為什麼在下半年,期權部分會有所下降。

  • But having said that, we're having a fantastic yield there, 20% up year-over-year.

    但話雖如此,我們在那裡的收益率非常可觀,同比增長 20%。

  • And I think the trends are of continuous growth there will all go into next year.

    而且我認為趨勢是持續增長,明年都會出現。

  • Operator

    Operator

  • The next question comes from Mr. C.J. Muse.

    下一個問題來自 C.J. Muse 先生。

  • Christopher James Muse - Senior MD, Senior Equity Research Analyst and Fundamental Research Analyst

    Christopher James Muse - Senior MD, Senior Equity Research Analyst and Fundamental Research Analyst

  • I guess first question, as you look at the building UV backlog, clearly, confidence is rising with your customers.

    我想第一個問題,當您查看建築 UV 積壓時,很明顯,您的客戶的信心正在上升。

  • So I would love to hear from you guys, too, what kind of improvements you're showing, particularly on the reliability in uptime side, which I think is the clear factor that is causing these guys to commit.

    所以我也很想听聽你們的意見,你們正在展示什麼樣的改進,特別是在正常運行時間方面的可靠性方面,我認為這是導致這些人承諾的明顯因素。

  • So I would love to hear, over the last 3 months, what kind of data you've seen?

    所以我很想听聽,在過去的 3 個月裡,你看到了什麼樣的數據?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • well, I think the most important factor that drives the confidence of the customer is actually in the key forms requirements that they need.

    好吧,我認為推動客戶信心的最重要因素實際上是他們需要的關鍵表格要求。

  • It's in 250 watts, which we show, the 125 wafers per hour.

    它的功率為 250 瓦,我們展示了每小時 125 個晶圓。

  • And of course, we make gradual progress in the availability and in the maturity of that system.

    當然,我們在該系統的可用性和成熟度方面逐步取得進展。

  • But like we said on earlier occasions, that is going to bring us to a situation where we have that when they ramp in volume in 2019.

    但就像我們之前所說的那樣,這將把我們帶到這樣的境地,當它們在 2019 年的數量增加時,我們就會遇到這種情況。

  • So they know that will take some time, just like the development of the ecosystem for them with photomask and with photoresist, will take some time also.

    所以他們知道這需要一些時間,就像使用光掩模和光刻膠為他們開發生態系統一樣,也需要一些時間。

  • But the most important part of that, actually drove that conference calls down to meeting all the key lithographic performance criteria, that drove the conference.

    但其中最重要的部分,實際上推動了電話會議,以滿足推動會議的所有關鍵光刻性能標準。

  • We now have a system that actually has all that performance in it.

    我們現在有一個系統,它實際上具有所有性能。

  • We just have to make it a bit more reliable, and that will take a bit of time.

    我們只需要讓它更可靠一點,這需要一些時間。

  • And we will know it, and there's a whole program driving it.

    我們會知道它,並且有一個完整的程序在驅動它。

  • And it's as simple as that.

    就這麼簡單。

  • Christopher James Muse - Senior MD, Senior Equity Research Analyst and Fundamental Research Analyst

    Christopher James Muse - Senior MD, Senior Equity Research Analyst and Fundamental Research Analyst

  • Very helpful.

    非常有幫助。

  • And I guess as my follow-up, in terms of your commentary around memory, in particular, DRAM, can you specify how much of that strength you're seeing across-the-board on shrinks versus 2D NAND upgrades over to DRAM?

    而且我想作為我的後續行動,就您對內存,特別是 DRAM 的評論而言,您能否具體說明您在縮小與 2D NAND 升級到 DRAM 方面的整體優勢有多大?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Difficult question to answer.

    很難回答的問題。

  • I'm not going to guess in this particular case.

    在這種特殊情況下,我不會猜測。

  • But what we are seeing is both a combination of filling up available spots, open spots in current DRAM fabs because of the market demand, and the technology transitions that are happening across the customer base.

    但我們所看到的既是由於市場需求而填補可用空缺、當前 DRAM 晶圓廠的空缺空缺,以及整個客戶群正在發生的技術轉型。

  • And some customers are leading in that sense, and other customers are followers.

    從這個意義上說,一些客戶處於領先地位,而其他客戶則是追隨者。

  • But all do technology migration.

    但都進行技術遷移。

  • It's across the base.

    它穿過基地。

  • So it's a mix, whereby open spots in, you can say, open pedestals in effect to these are currently being filled because of the strong end market demand in DRAM, which is particularly driven by the data centers.

    因此,這是一個混合體,由於 DRAM 的終端市場需求強勁,尤其是由數據中心推動,因此目前正在填補對這些有效的開放基座中的開放位置。

  • So it's a combination of both.

    所以這是兩者的結合。

  • With DRAM, that's strong.

    使用 DRAM,這很強大。

  • There are not that many 3D NAND relocations happening.

    發生的 3D NAND 重定位並不多。

  • But that happened in the past.

    但那發生在過去。

  • That happened over the last 18 months, which created this space to backfill with leading-edge lithography systems to address the rising demand in DRAM market.

    這發生在過去 18 個月中,創造了這個空間來回填領先的光刻系統,以滿足 DRAM 市場不斷增長的需求。

  • Operator

    Operator

  • The next question comes from Mr. Andrew Gardiner.

    下一個問題來自 Andrew Gardiner 先生。

  • Andrew Michael Gardiner - Director

    Andrew Michael Gardiner - Director

  • Andrew Gardiner with Barclays.

    巴克萊銀行的安德魯·加德納。

  • I was just wondering if we could revisit to the 2020 model, particularly in light of the strength you're now seeing in 2017 and your expectation heading into next year as well.

    我只是想知道我們是否可以重新審視 2020 年的模型,特別是考慮到您現在在 2017 年看到的實力以及您對明年的預期。

  • If I go back to the scenarios, you guys outlined at the Capital Markets Day last year, you gave us sort of 4 scenarios that supported the EUR 10 billion revenue pre-HMI.

    如果我回到場景,你們在去年資本市場日概述的場景,你們給了我們支持 HMI 前 100 億歐元收入的 4 個場景。

  • But given what we're seeing, some of the lower sort of end scenarios that you have there, in particularly unlikely, I mean, first of all, you have talked about the sort of layer count -- initial layer count expectations of EUV.

    但鑑於我們所看到的情況,你所看到的一些較低的最終場景,特別不可能,我的意思是,首先,你已經談到了層數——EUV 的初始層數預期。

  • You're now seeing sort of 10 layers.

    你現在看到了 10 層。

  • At the time, we were still between 6 and 10.

    那時,我們還在 6 到 10 歲之間。

  • So 6 layers quite a bit lower at the low end of that range.

    因此,在該範圍的低端,6 層要低得多。

  • And then similarly, in terms of the end market assumptions you are using for your lower-demand scenario, a 20% node-on-node decline in wafer starts seems particularly cautious at this point, given what we're hearing in terms of new compute applications and the greenfield build-outs you've highlighted in China.

    然後類似地,就您在需求較低的情況下使用的終端市場假設而言,考慮到我們聽到的新您在中國重點介紹的計算應用程序和新建項目。

  • So given that, I mean, do you not think it's sort of more fair to look at the 2 higher-end scenarios that you've outlined there we should sort of minimize likelihood of the 2 weaker ones?

    因此,鑑於此,我的意思是,您認為看看您在那裡概述的 2 個高端場景不是更公平,我們應該盡量減少 2 個較弱場景的可能性嗎?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Well, you did a good job almost providing the answer, along with your question.

    好吧,您做得很好,幾乎提供了答案以及您的問題。

  • I think you're exactly right.

    我認為你完全正確。

  • I mean, we will not be standing there in New York last year telling you exactly where to pinpoint the revenue in 2020.

    我的意思是,我們不會在去年站在紐約告訴你 2020 年的確切收入來源。

  • We gave you a bit of a model with the key sensitivities and, as we've explained, the 2 major ones when we go through all of our sensitivities end market demand.

    我們為您提供了一些具有關鍵敏感性的模型,正如我們已經解釋的那樣,當我們了解所有敏感性最終市場需求時,這兩個主要敏感性。

  • And there, we have shown a little bit of sensitivity, and you see, there's not been that much of an upside and a downside compared to the intensity of the EUV and insertion, where we've seen between a high insertion and low insertion, it was quite a bit of a difference.

    在那裡,我們表現出了一點敏感性,你看,與 EUV 和插入的強度相比,沒有太多的好處和壞處,我們已經看到高插入和低插入之間,這有很大的不同。

  • I think the market assumptions provided another EUR 1 billion to the upside and then was another EUR 2 billion or so in the EUV insertion.

    我認為市場假設提供了另外 10 億歐元的上行空間,然後在 EUV 插入中又增加了 20 億歐元左右。

  • I think what we can say is that we were certainly more confident that we're not going through the bottom end of the scenarios because you're absolutely right with everything that you're hearing from the end markets, be it frames and memory, being it new applications, being it like autonomous driving or a move towards autonomous driving, data centers, big data, analytics.

    我認為我們可以說的是,我們肯定更有信心,我們不會經歷場景的底部,因為你從終端市場聽到的一切都是絕對正確的,無論是框架和內存,無論是新的應用,無論是自動駕駛,還是向自動駕駛、數據中心、大數據、分析的轉變。

  • Whatever you hear is pointing that the market is not going to be soft.

    無論你聽到什麼都表明市場不會疲軟。

  • And then with the recent accomplishments on EUV, if we follow through, which is our intent to -- now that we have the specs met, that we get the availability up.

    然後隨著最近在 EUV 上取得的成就,如果我們堅持下去,這是我們的意圖——既然我們已經滿足了規範,我們就會提高可用性。

  • And to Peter's earlier point, right now, we've highlighted 10 layers, for instance, in logic that will be initial layers.

    對於 Peter 之前的觀點,現在,我們已經突出顯示了 10 個層,例如,在邏輯中將是初始層。

  • As we move this further up, we have an opportunity to overachieve that number.

    隨著我們進一步提高,我們有機會超額完成這個數字。

  • But it's too early to pinpoint a new number.

    但現在確定一個新數字還為時過早。

  • That's why we have given you these sensitivities.

    這就是為什麼我們給你這些敏感性。

  • But we feel pretty comfortable right now.

    但我們現在感覺很舒服。

  • Andrew Michael Gardiner - Director

    Andrew Michael Gardiner - Director

  • Okay, understood.

    好的,明白了。

  • Just a quick follow-up.

    只是快速跟進。

  • Wolfgang, in terms of the EUV rev rec this year.

    Wolfgang,就今年的 EUV rev rec 而言。

  • So earlier in the year, you were thinking, if I recall, sort of EUR 1.1 billion, EUR 1.2 billion.

    所以今年早些時候,如果我記得的話,你在想,大概是 11 億歐元,12 億歐元。

  • Is that still a reasonable number given sort of shipments and tool performance in the field?

    考慮到該領域的出貨量和工具性能,這仍然是一個合理的數字嗎?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Yes.

    是的。

  • It's a good question.

    這是個好問題。

  • I mean, at this point, I would say that it's going to be around EUR 1 billion or so.

    我的意思是,在這一點上,我會說這將是大約 10 億歐元左右。

  • I mean, it has to do with a whole bunch of things.

    我的意思是,它與一大堆事情有關。

  • Like you know, since last year, we can recognize majority of revenue with shipments, but there are still a few things that we need to defer.

    如您所知,自去年以來,我們可以通過發貨確認大部分收入,但仍有一些事情需要推遲。

  • For instance, if -- the installation, we have a little bit if we provide a longer warranty.

    例如,如果——安裝,如果我們提供更長的保修期,我們有一點。

  • We have to defer a little bit there.

    我們不得不推遲一點。

  • And we also got to really look at whether the configuration at the factory test is exactly the same as when we retest the system at the customer's site, which in a time when you're struggling to get all your material together can sometimes -- small pieces are different than the final test at the customer.

    而且我們還必須真正了解工廠測試中的配置是否與我們在客戶現場重新測試系統時完全相同,在您努力將所有材料放在一起的時候,有時可能 - 小件與客戶的最終測試不同。

  • And there, we have to defer again by a quarter or so.

    在那裡,我們不得不再次推遲四分之一左右。

  • So I'd be careful there.

    所以我會在那裡小心。

  • And even though we shipped 12 systems and even though we get some deferred revenue in from the past, we will still have to defer a bit of the revenue into 2018.

    即使我們交付了 12 個系統,即使我們從過去獲得了一些遞延收入,我們仍然必須將部分收入推遲到 2018 年。

  • So if you want to be on the safe side, I would count on EUR 1 billion right now.

    因此,如果您想安全起見,我現在指望 10 億歐元。

  • Of course, this has the effect now that we have a stable configuration.

    當然,現在我們有了一個穩定的配置,這就產生了效果。

  • Next year, we're going to recognize in the same year, plus we then have a catch-up.

    明年,我們將在同一年承認,再加上我們有一個追趕。

  • I mean, we'll have a deferred revenue balance at the end of this year.

    我的意思是,我們將在今年年底獲得遞延收入餘額。

  • So next year, not only will the shipments go up from 12 to 20 before upgrades, but we're going to get a couple of hundred million on deferred revenue.

    所以明年,升級前的出貨量不僅會從 12 個增加到 20 個,而且我們將獲得數億的遞延收入。

  • So I would plan on EUR 1 billion right now, Andrew.

    所以我現在計劃投資 10 億歐元,安德魯。

  • Operator

    Operator

  • The next question comes from Mr. Alex Duval.

    下一個問題來自 Alex Duval 先生。

  • Alexander Duval - Equity Analyst

    Alexander Duval - Equity Analyst

  • Alex Duval from Goldman Sachs.

    來自高盛的亞歷克斯·杜瓦爾。

  • Just a quick question on HMI.

    只是一個關於 HMI 的快速問題。

  • You've talked a bit more about the innovations ASML is driving to combine e-beam with your computational lithography.

    您已經談到了更多關於 ASML 推動將電子束與您的計算光刻相結合的創新。

  • Wondered if you could give a bit more color on the key technical aspects you're working on, what's the feedback been from customers you've been discussing with and anything else important on the solutions so far.

    想知道您是否可以在您正在研究的關鍵技術方面提供更多顏色,您一直在討論的客戶的反饋是什麼,以及迄今為止對解決方案的任何其他重要信息。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes.

    是的。

  • Thank you, Alex.

    謝謝你,亞歷克斯。

  • The development that we're seeing there is that we're executing as planned, as part of the acquisition, on the combination of the ASML lithographic -- let's say the Holistic Lithographic computational competence with the e-beam competence that HMI has in the field.

    我們在那裡看到的發展是,我們正在按計劃執行,作為收購的一部分,結合 ASML 光刻——讓我們說整體光刻計算能力與 HMI 在場地。

  • Now the combination of those to the first product will be shipped in the second half of this year and will be evaluated by 3 customers.

    現在,這些與第一款產品的組合將在今年下半年發貨,並將由 3 位客戶進行評估。

  • With positive evaluation, we will then start to ship that product in 2018, which will be a single-beam tool, combined with the ASML computational lithography competence.

    獲得積極評價後,我們將在 2018 年開始交付該產品,該產品將是一款單光束工具,並結合了 ASML 計算光刻能力。

  • Now that will be an intermediate solution and will be focused on defect inspection, on wafer inspection.

    現在這將是一個中間解決方案,並將專注於缺陷檢測,晶圓檢測。

  • That will be -- that will follow by a more, let's say, economic solution, which will involve multi beam.

    那將是——這將是一個更經濟的解決方案,比方說,這將涉及多波束。

  • Multi beam, which actually has the advantage of being able to inspect the wafer much faster, which will bring the cost of inspection down, will also -- the -- you could -- so array or the service that you can inspect will also go up, which will also -- which could have a very positive impact on the customer yields.

    多光束實際上具有能夠更快地檢查晶圓的優勢,這將降低檢查成本,也將 - 你可以 - 所以陣列或你可以檢查的服務也將向上,這也將 - 這可能對客戶收益率產生非常積極的影響。

  • So that is a product.

    所以這是一個產品。

  • That's scheduled for the year thereafter, so after next year, whereby it's not only the multi-beam column.

    這是計劃在之後的一年,所以在明年之後,不僅是多樑柱。

  • Because if you have a capability to move the wafer faster, you also need faster stages.

    因為如果您有能力更快地移動晶圓,您還需要更快的平台。

  • Now lo and behold, there's one company on this planet that's very good at fast stages, and that's ASML.

    現在你瞧,這個星球上有一家公司在快速階段非常擅長,那就是 ASML。

  • So I think fast-stage technology, combined with good computational lithography, combined with a multi-e-beam solution will get a very powerful solution for wafer inspection going forward.

    所以我認為快速階段技術,結合良好的計算光刻技術,結合多電子束解決方案,將為未來的晶圓檢測提供非常強大的解決方案。

  • Operator

    Operator

  • The next question is coming from Mr. Mehdi Hosseini.

    下一個問題來自 Mehdi Hosseini 先生。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Just going back to the EUV revenue recognition.

    回到 EUV 收入確認。

  • Wolfgang, how should we think or how should we model deferred EUV revenue by year-end '17?

    Wolfgang,我們應該如何思考,或者我們應該如何在 17 年底之前模擬遞延 EUV 收入?

  • And as shipment starts in '18, should we think of revenue recognition on this EUV system happening at an earlier time?

    隨著 18 年開始出貨,我們是否應該考慮在更早的時間對這個 EUV 系統進行收入確認?

  • Or in other words, would deferred revenue start to go down?

    或者換句話說,遞延收入會開始下降嗎?

  • And I have a follow-up.

    我有一個後續行動。

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Yes, Mehdi, you're right.

    是的,邁赫迪,你是對的。

  • So for next year, on the shipments, you should clearly think of the revenue in that -- in the same period as the shipment.

    因此,對於明年的出貨量,您應該清楚地考慮到與出貨量同期的收入。

  • And then we currently think that we'll have a deferred revenue balance of up to EUR 500 million at the end of this year.

    然後我們目前認為,到今年年底,我們的遞延收入餘額將高達 5 億歐元。

  • So again, next year, you will very likely see our revenue be higher than the number of shipment times the price because all the deferred revenue is coming in next year.

    所以,明年,你很可能會看到我們的收入高於出貨數量乘以價格,因為所有的遞延收入都將在明年到來。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Great.

    偉大的。

  • And then follow-up regarding the DUV business.

    然後跟進 DUV 業務。

  • Your booking for the June quarter was down 4%, excluding the EUV.

    您的 6 月季度預訂量下降了 4%,不包括 EUV。

  • And in that context, should I assume that DUV shipment in the second half of the year would be slightly down compared to the first half?

    在這種情況下,我是否應該假設下半年的 DUV 出貨量會比上半年略有下降?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Yes.

    是的。

  • I think -- I mean, you can do the math if you take what we said with the 25%, and then you take the EUV shipments and within -- and installed base revenue a little bit down in the second half.

    我認為——我的意思是,如果你按照我們所說的 25% 計算,然後你計算 EUV 的出貨量,並且在下半年——安裝基數收入略有下降。

  • I mean, the end of the story is DUV in the second half is not going to be too different from what it was in the first half.

    我的意思是,故事的結尾是下半場的 DUV 不會與上半場有太大的不同。

  • I think we said also on the call that this business was already very, very good last year, and we're up another 15% or so.

    我想我們在電話會議上也說過,去年這項業務已經非常非常好,而且我們又上漲了 15% 左右。

  • And I wouldn't put too much weight, albeit it gives you some info on the structure of the backlog.

    我不會太重視,儘管它會為您提供有關積壓工作結構的一些信息。

  • But I wouldn't put too much on the details on the bookings there.

    但我不會過多介紹那裡的預訂細節。

  • And although we get asked a lot about this [party] over next year, but we see trends continuing in 2018.

    儘管我們在明年被問到很多關於這個 [派對] 的問題,但我們看到 2018 年的趨勢仍在繼續。

  • So EUV is also going to be strong next year.

    所以明年 EUV 也會很強勁。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes.

    是的。

  • And to add to that, I mean, a 4% down quarter-on-quarter, I mean, you know how our quarterly bookings vary.

    除此之外,我的意思是,環比下降 4%,我的意思是,你知道我們的季度預訂量是如何變化的。

  • We have only a few customers, and they tend to send those orders in by batches and then shipped.

    我們只有幾個客戶,他們傾向於分批發送這些訂單然後發貨。

  • And then 4% single digit, low single-digit percentages, in my mind, are completely meaningless.

    然後 4% 的個位數,低個位數的百分比,在我看來,完全沒有意義。

  • Operator

    Operator

  • The next question comes from Mr. Farhan Ahmad.

    下一個問題來自 Farhan Ahmad 先生。

  • Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

    Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

  • This is Farhan Ahmad from Credit Suisse.

    這是瑞士信貸的法爾漢·艾哈邁德。

  • My first question is on memory shipments that are up 50% year-on-year.

    我的第一個問題是內存出貨量同比增長 50%。

  • Peter, can you just talk about how much of the growth is driven by increase in capital intensity and EUV?

    彼得,您能否談談資本密集度和 EUV 的增加在多大程度上推動了增長?

  • And how much of it is really going to drive the demand higher this year.

    以及其中有多少真的會推動今年的需求上升。

  • And also, if you could touch on what percentage of bit growth do you expect in NAND, DRAM market this year based on the shipments that you're supporting?

    此外,如果您可以根據您支持的出貨量,您預計今年 NAND、DRAM 市場的位增長百分比是多少?

  • That would be all.

    這就是全部。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Could you repeat the first question?

    你能重複第一個問題嗎?

  • Because I thought you talked about memory and then growth in capital intensity, but I thought you said EUV.

    因為我以為你說的是內存,然後是資本密集度的增長,但我以為你說的是 EUV。

  • Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

    Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

  • So 50% growth that you have in memory shipment, there is some EUV component in that as well, I'm imagining.

    因此,內存出貨量增長 50%,其中也有一些 EUV 組件,我在想像。

  • Please correct me.

    請糾正我。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Well, I think in -- when you think about the growth in capital intensity, where the 50% growth, is really deep UV.

    好吧,我認為——當你考慮資本密集度的增長時,50% 的增長確實是深度紫外線。

  • I mean, we do take some EUV memory orders, but that is not for capacity additions.

    我的意思是,我們確實接受了一些 EUV 內存訂單,但這不是為了增加容量。

  • Those are really for ramping up and qualifying the product, and the ramp will be at the end of the second half of 2018 going into 2019.

    這些真的是為了提高產品的質量和資格,斜坡將在 2018 年下半年末進入 2019 年。

  • So that is not driven by any EUV shipments.

    因此,這不受任何 EUV 出貨量的推動。

  • It's really deep UV and particularly strong in DRAM.

    它真的是深紫外線,在 DRAM 中特別強。

  • And that is like I said in the prepared remarks also, but I'd like to repeat it.

    這就像我在準備好的評論中所說的那樣,但我想重複一遍。

  • It's not a major surprise, if you think about it.

    如果您考慮一下,這並不是一個大驚喜。

  • I mean, the DRAM supply and demand curves are -- I'm going to talk about the end product, the DRAM device, really driven by the capacity situation and the end demand.

    我的意思是,DRAM 供需曲線是——我將談論最終產品,DRAM 設備,真正受產能情況和最終需求驅動。

  • And in a situation like we had in 2014 going into 2015, where 2 major fabs in Korea, M14 and L17, came online, started to take as many tools as they could to fill up the fab, you got a big step up in capacity, where, of course, the end demand doesn't follow that step curve.

    在我們從 2014 年到 2015 年的情況下,韓國的 2 家主要晶圓廠 M14 和 L17 上線,開始使用盡可能多的工具來填滿晶圓廠,產能大幅提升,當然,最終需求不遵循該階梯曲線。

  • And so you have a period in which there is a supply and demand imbalance and, at least, a lower DRAM prices, which actually, at that moment in time, memory makers that could also see strong demand in 3D NAND start to relocate capacity out of DRAM into 3D NAND.

    因此,有一段時間供需失衡,至少 DRAM 價格下降,實際上,在那個時候,也可能看到 3D NAND 強勁需求的內存製造商開始將產能轉移出去DRAM 到 3D NAND。

  • Now that end demand, driven by strong data center demand, when that goes up, we'll catch up.

    現在,在強勁的數據中心需求的推動下,最終需求上升,我們將迎頭趕上。

  • And that has actually happened the second half of last year, leading to increased DRAM prices.

    這實際上發生在去年下半年,導致 DRAM 價格上漲。

  • And that is not a surprise then that those empty pedestals, where previously they had litho tools that are now in 3D NAND, are being backfilled with the technology transitions on top of that.

    因此,那些空置的基座(以前他們擁有現在位於 3D NAND 中的光刻工具)正在被技術轉換所回填,這並不奇怪。

  • And that is creating the strong demand this year, also driven by a strong end market where the data center demand is very strong for leading-edge DRAM.

    這創造了今年的強勁需求,這也是由強勁的終端市場推動的,其中數據中心對前沿 DRAM 的需求非常強勁。

  • Now what does that mean for bit growth?

    現在這對比特增長意味著什麼?

  • Well, bit growth, 26% is what market research firms say, now listening to customers that might come up with some different numbers.

    嗯,位增長,26% 是市場研究公司所說的,現在聽客戶可能會提出一些不同的數字。

  • But trying to predict those numbers is very dangerous.

    但試圖預測這些數字是非常危險的。

  • Because last year, we saw market research firms talking about DRAM growth rates, and I'm talking about the end demand growth rates, of lower than 20%, and it ended up north in the high 20s.

    因為去年,我們看到市場研究公司在談論 DRAM 增長率,我說的是終端需求增長率,低於 20%,最終在 20 年代的高位向北。

  • So difficult to predict.

    如此難以預測。

  • I would suggest we keep looking at the DRAM prices.

    我建議我們繼續關注 DRAM 價格。

  • The DRAM price, it is a commodity, is a reflection of the supply and demand balance.

    DRAM價格,它是一種商品,是供需平衡的反映。

  • And currently, there is some undersupply, and that's for sure.

    目前,供應不足,這是肯定的。

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • And I think for NAND, we expect around 40%.

    我認為對於 NAND,我們預計約為 40%。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes, around 40%.

    是的,大約 40%。

  • Well, we expect nothing.

    好吧,我們什麼都不期待。

  • We just repeat what other people are saying, which is around 40%, but who knows.

    我們只是重複其他人所說的話,大約是 40%,但誰知道呢。

  • Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

    Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

  • Got it.

    知道了。

  • And then on the EUV, I saw in the presentation that you posted online, that there is a mention of unidirectional and bidirectional design.

    然後在 EUV 上,我在您在線發布的演示文稿中看到,提到了單向和雙向設計。

  • And just based on my industry chatter also, it seems at least one of the customers has picked up the activity on doing bidirectional design.

    而且僅根據我的行業閒聊,似乎至少有一個客戶已經開始進行雙向設計。

  • So I just wanted to ask you, in terms of the insertion of EUV, how does it affect the opportunity for you, whether it's bidirectional or unidirectional?

    所以我只是想問你,在 EUV 的插入方面,它對你的機會有什麼影響,是雙向的還是單向的?

  • Is it fair to think that if it's bidirectional, then there would be a lot fewer steps that are needed for (inaudible) edge?

    認為如果它是雙向的,那麼(聽不清)邊緣所需的步驟會少得多,這是否公平?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Well, I think it doesn't really matter.

    好吧,我認為這並不重要。

  • And when I can refer to Slide 17 and that you're -- what you're referring to is that 2D patterning, 1 EUV exposure; 1D patterning, 1 EUV exposure.

    當我可以參考第 17 張幻燈片時,您是——您指的是 2D 圖案化,1 次 EUV 曝光; 1D 圖案化,1 次 EUV 曝光。

  • That's the same.

    那是一樣的。

  • So it doesn't really matter, yes?

    所以這並不重要,是嗎?

  • So it's -- well, I'm not going to comment on (inaudible) edge because we're not experts on it.

    所以它是- 好吧,我不打算評論(聽不清)邊緣,因為我們不是這方面的專家。

  • So -- but from an EUV point of view, there's no issue.

    所以——但從 EUV 的角度來看,沒有問題。

  • Operator

    Operator

  • Next question comes from Mr. Douglas Smith.

    下一個問題來自 Douglas Smith 先生。

  • Douglas Smith

    Douglas Smith

  • It's Doug Smith from Agency Partners.

    我是 Agency Partners 的 Doug Smith。

  • From my tracking of the industry, it looks as though the percentage of litho spend versus total wafer fab equipment has dropped a little bit the last maybe 2 years or so.

    從我對行業的跟踪來看,光刻機支出與晶圓廠設備總量的百分比似乎在過去 2 年左右的時間里略有下降。

  • First of all, do you agree with that assessment?

    首先,你同意這個評價嗎?

  • And second, do you imagine that going forward that litho intensity might go back up again?

    其次,您是否認為未來光刻強度可能會再次回升?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Yes, Doug.

    是的,道格。

  • This is Wolfgang.

    這是沃爾夫岡。

  • I got to disappoint you there a little bit.

    我得讓你有點失望。

  • I -- we are not big trackers of this metric.

    我——我們不是這個指標的大追踪者。

  • We're solely focused on our EUR 11 billion and the potential upsides to that.

    我們只專注於我們的 110 億歐元及其潛在的上行空間。

  • And the reason why we're not focusing on this too much is twofold.

    我們沒有過多關注這一點的原因有兩個。

  • Number one, historically, the customer CapEx has not shown a strong correlation to our own revenue in a given year.

    第一,從歷史上看,客戶資本支出在給定年份與我們自己的收入沒有顯示出很強的相關性。

  • But more importantly, it is something that is very difficult to normalize because you got to think about FX, for instance.

    但更重要的是,它很難正常化,因為你必須考慮 FX,例如。

  • I mean, 2.5 years ago, the exchange rate was $1.40, and then it went down to $1.10 to euro.

    我的意思是,2.5 年前,匯率是 1.40 美元,然後下跌到 1.10 美元兌歐元。

  • And all of a sudden, the litho spend looks lower, but had nothing to do with our business.

    突然之間,光刻花費看起來更低,但與我們的業務無關。

  • It's just the different exchange rate.

    只是匯率不同而已。

  • Secondly, if you heard earlier in the call, we have a very specific strategy to provide upgrades to our customers that we have in field options and services and that they don't necessarily count in the different people's calculation on adding up these numbers.

    其次,如果您在電話會議的早些時候聽說過,我們有一個非常具體的策略來為我們的客戶提供我們在現場選項和服務方面的升級,並且他們不一定要計入不同人對這些數字相加的計算。

  • I think from that perspective, we are not looking at it.

    我認為從這個角度來看,我們沒有看它。

  • But we're not worried about our share on the overall spend.

    但我們並不擔心我們在整體支出中的份額。

  • We're worried about executing our road maps and getting to our revenue, and it will be whatever share of whatever somebody puts together.

    我們擔心執行我們的路線圖並獲得我們的收入,這將是任何人放在一起的任何份額。

  • Douglas Smith

    Douglas Smith

  • Got it.

    知道了。

  • But perhaps I can ask another question, like a more technical question.

    但也許我可以問另一個問題,比如更技術性的問題。

  • And that is we're seeing a lot of chips made these days using full pellicle sizes, 800-plus millimeters.

    這就是我們現在看到很多芯片使用完整的薄膜尺寸,800 多毫米。

  • Is that going to be a problem for the current generation of EUV print such large chips and how about for high NA, where you're using anamorphic production?

    這對於當前一代 EUV 打印如此大的芯片來說會是一個問題嗎?對於高 NA,你使用變形製作的地方呢?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes, I think not for the current EUV generations, potentially, for the high NA.

    是的,我認為對於當前的 EUV 世代來說,可能不是針對高數值孔徑的。

  • But we don't think it's going to be a major issue.

    但我們認為這不會是一個大問題。

  • In the discussions we've had with customers, that's being addressed.

    在我們與客戶的討論中,這個問題正在得到解決。

  • It also has to do -- is a matter of design parameters that you can take into consideration.

    它還必須這樣做 - 是您可以考慮的設計參數問題。

  • So that's the sole part of the equation of why high NA is an economic solution or not and everything that we currently calculate.

    因此,這就是為什麼高 NA 是否是一種經濟解決方案的等式的唯一部分,以及我們目前計算的所有內容。

  • And I think our customers agree with us that the high NA specification, which includes in the different mask size, is very likely.

    而且我認為我們的客戶同意我們的觀點,即高 NA 規格(包括不同的掩模尺寸)是很有可能的。

  • I point you into the direction that it's highly economical to do it.

    我向您指出這樣做非常經濟的方向。

  • Operator

    Operator

  • The next question comes from Mr. Sandeep Deshpande.

    下一個問題來自 Sandeep Deshpande 先生。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • My question, Peter, is on 2019.

    彼得,我的問題是在 2019 年。

  • I mean, clearly, now you have orders in your book for '18 of the '20 orders that -- or '20 tools that are going to bid in next year.

    我的意思是,很明顯,現在您的訂單中有 20 份訂單中的 18 份訂單——或者說是明年將要投標的 20 份工具。

  • How do you see 2019?

    你如何看待 2019 年?

  • I mean, are you already talking to your customers about 2019?

    我的意思是,您是否已經在與您的客戶談論 2019 年?

  • Because some of your customers have indicated 7 nanometers starting with as much as 8-plus layers.

    因為您的一些客戶表示 7 納米從多達 8 層以上開始。

  • So some of that will have to be shipping in the first half of '19 as well as some of the memory customers.

    因此,其中一些必須在 19 年上半年以及一些內存客戶中發貨。

  • So maybe you can make a comment on 2019 conversations you're having with customers.

    因此,也許您可以對 2019 年與客戶的對話發表評論。

  • And I have a quick follow-up after that.

    在那之後我有一個快速的跟進。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes.

    是的。

  • Well, I think you're absolutely right.

    嗯,我認為你是完全正確的。

  • I mean, 27 systems in the order book with shipment pattern that we gave you means that we're virtually done for 2017 and '18.

    我的意思是,訂單簿中有 27 個系統以及我們為您提供的裝運模式意味著我們在 2017 年和 18 年幾乎完成了。

  • So everything moves into 2019.

    所以一切都進入了2019年。

  • Now with the order lead times that we're currently having, yes, you're absolutely right.

    現在有了我們目前的訂單交貨時間,是的,你是絕對正確的。

  • This -- the order discussion that we're currently having are about 2019, and that's the case, yes.

    這——我們目前正在進行的訂單討論是在 2019 年左右,就是這樣,是的。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • And people are giving you indications in terms of what they might be building at this point?

    人們正在就他們目前可能正在建造的東西向您提供指示?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • I think when you add it all up, you see 2019 and what we ship in 2018, I think we're currently seeing -- we're currently added up.

    我認為當您將所有內容加起來時,您會看到 2019 年以及我們在 2018 年發布的內容,我認為我們目前正在看到 - 我們目前已經加起來。

  • It's around 30 units, could be a bit more than that, that they need for 2019, which, by the way, could be almost similar to what we're currently looking at where we can ramp in terms of our own capacity.

    他們在 2019 年需要大約 30 個單位,可能比這多一點,順便說一句,這可能與我們目前正在考慮的根據我們自己的產能提升的地方幾乎相似。

  • We've said before, we will ramp our capacity double, double from 2018 to 2019.

    我們之前說過,我們將把產能翻一番,從 2018 年到 2019 年翻一番。

  • That is true for our build capacity here in Veldhoven, but we're seeing 1- or 2-quarter delays in that capacity in the supply chain.

    這對於我們在 Veldhoven 的建設產能來說是正確的,但我們看到供應鏈中的產能延遲了 1 或 2 個季度。

  • So that means that, that capacity buildup of, let's say, 45-systems capacity is really there in 2020, but in 2019, we see 1 or 2 quarters delay.

    這意味著,2020 年確實存在 45 個系統的產能建設,但在 2019 年,我們會看到 1 或 2 個季度的延遲。

  • So that -- around 30 demand, if I add it all up today, is -- that would -- could probably nicely fit to what our capacity is, could be a bit more than 30.

    所以 - 大約 30 個需求,如果我今天把它全部加起來,是 - 這將 - 可能很好地適合我們的容量,可能會超過 30 個。

  • But it's a bit too early to give you a final number.

    但現在給你一個最終數字還為時過早。

  • But since you asked me the question, I add it up today, and that's what the number is.

    但是既然你問我這個問題,我今天加起來,就是這個數字。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • Okay, thanks Peter.

    好的,謝謝彼得。

  • And just a quick follow-up for Wolfgang.

    並且只是對沃爾夫岡的快速跟進。

  • I mean, Wolfgang, in terms of the numbers that you've given at the Analyst Day last year, I mean, the moderate case was EUR 11 billion in sales and EUR 9-plus in EPS.

    我的意思是,沃爾夫岡,就你在去年分析師日給出的數字而言,我的意思是,適度的情況是銷售額為 110 億歐元,每股收益超過 9 歐元。

  • If you actually just roll out the numbers that you printed in 2Q, you're well ahead that on a full year basis already.

    如果您實際上只是推出了您在第二季度打印的數字,那麼您已經在全年的基礎上遙遙領先。

  • So would you need to give new guidance at this point or you think that you are at a much higher level in terms of demand or something else has changed in terms of demand at this point where we are in the cycle?

    那麼您是否需要在這一點上提供新的指導,或者您認為您在需求方面處於更高水平,或者在我們處於週期的這一點上需求方面發生了其他變化?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • I'm not sure whether you were on -- early on the call.

    我不確定你是否在通話早期。

  • We tried to address that.

    我們試圖解決這個問題。

  • I mean, we're not changing the EUR 11 billion right now, but we pointed towards the sensitivities we showed last year in New York.

    我的意思是,我們現在沒有改變 110 億歐元,但我們指出了去年在紐約表現出的敏感性。

  • And I think you can at least, at this point, conclude that the bottom end of the scenarios, both on the demand side and on the number of litho layers, seems more and more unlikely.

    而且我認為你至少可以在這一點上得出結論,無論是在需求方面還是在光刻層的數量上,情景的底端似乎越來越不可能。

  • If there's any bias, I think everybody would say now that we have an upside opportunity.

    如果有任何偏見,我想現在每個人都會說我們有上漲的機會。

  • But let's just get this year under our belt.

    但是,讓我們把今年放在我們的腰帶上。

  • And next year and at the appropriate time, we'll give you a formal update on the model with new sensitivities.

    明年,在適當的時候,我們將為您提供具有新敏感性的模型的正式更新。

  • But we're -- like I said earlier, we're feeling pretty comfortable about those levels at this point.

    但是我們 - 就像我之前所說的那樣,我們現在對這些水平感到很自在。

  • Operator

    Operator

  • The next question comes from Mr. Amit Harchandani.

    下一個問題來自 Amit Harchandani 先生。

  • Amit B. Harchandani - VP and Analyst

    Amit B. Harchandani - VP and Analyst

  • I'm Amit Harchandani from Citi.

    我是花旗的 Amit Harchandani。

  • Two questions, if I may.

    兩個問題,如果可以的話。

  • The first one really is a clarification to what was said earlier.

    第一個確實是對前面所說的內容的澄清。

  • So if I understood correctly, Wolfgang, did you indicate that the deferred revenue for next year would be around EUR 500 million and also the shipments next year with fee revenues being fully recognized?

    那麼,如果我理解正確,Wolfgang,您是否表示明年的遞延收入將在 5 億歐元左右,以及明年的出貨量以及完全確認的費用收入?

  • And so if I assume, say, EUR 100 million per tool, does that imply 20 and 200 (sic) [EUR 2 billion], plus EUR 500 million, we are looking at a revenue of EUR 2.5 billion, if you could just help me...

    因此,如果我假設,例如,每個工具 1 億歐元,這是否意味著 20 和 200(原文如此)[20 億歐元],再加上 5 億歐元,如果您能提供幫助,我們的收入將達到 25 億歐元我...

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Your math reflects what I said.

    你的數學反映了我所說的。

  • Amit B. Harchandani - VP and Analyst

    Amit B. Harchandani - VP and Analyst

  • Okay.

    好的。

  • That's helpful.

    這很有幫助。

  • And also, given that there is EUR 500 million of deferred revenue, wouldn't that have a one-off implication for the gross margin because most of that would be the fairly high gross margin?

    而且,鑑於有 5 億歐元的遞延收入,這不會對毛利率產生一次性影響,因為其中大部分將是相當高的毛利率?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Well, also there, I mean, it certainly helps, but we knew that.

    好吧,我的意思是,這當然有幫助,但我們知道這一點。

  • I mean, we have said we're coming on a journey from minus 75% last year to 40%.

    我的意思是,我們已經說過我們正在從去年的負 75% 到 40% 的旅程。

  • So now you can argue whether it makes the breakeven a little bit tougher this year and the 20% a bit easier.

    所以現在你可以爭論它是否讓今年的盈虧平衡變得更艱難,而 20% 更容易。

  • But in general, it has a little bit of a relocation left and right, but it doesn't change our trajectory that what we have in mind is really 40%.

    但總的來說,它左右都有一點重定位,但並沒有改變我們的軌跡,我們腦海中的真的是40%。

  • We need that to get to our rate of 50%.

    我們需要它來達到我們的 50% 的比率。

  • And we always said the biggest variable there is the volume, and the second biggest variable is the serviceability of the tool.

    我們總是說最大的變量是體積,第二大變量是工具的可維護性。

  • And then we have -- with the learning curve and avoiding E&O.

    然後我們有 - 學習曲線和避免 E&O。

  • And with the tool now performing to the specs and that enabling us to "freeze" the spec and really work on availability, that makes us really much more comfortable that also on the financial side, we can deliver on that 40%.

    現在,該工具符合規範,使我們能夠“凍結”規範並真正致力於可用性,這讓我們真的更舒服,而且在財務方面,我們可以交付 40%。

  • Amit B. Harchandani - VP and Analyst

    Amit B. Harchandani - VP and Analyst

  • And just for a second question, with respect to the supply chain with the comment I think Peter referred to earlier.

    關於第二個問題,關於供應鏈,我認為 Peter 之前提到的評論。

  • Just wanted to understand, would you -- are you looking at potentially helping your suppliers or supply chain build up capacity to give you the flexibility to potentially ship more EUV tools by 2019?

    只是想了解一下,您是否正在考慮潛在地幫助您的供應商或供應鏈建立能力,以使您能夠靈活地在 2019 年之前交付更多的 EUV 工具?

  • Or in other words, is that already starting to emerge as a constraint in your view?

    或者換句話說,這是否已經開始成為您認為的約束?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • I think the ramp-up is a good question.

    我認為加速是一個很好的問題。

  • I think the ramp-up in the supply chain, of course, when we said customers turned the corner, but the order flow is also a good evidence of it and is also has ignited a lot of activity in the supply chain.

    我認為供應鏈的增長,當然,當我們說客戶轉過彎時,但訂單流也是一個很好的證據,也點燃了供應鏈中的很多活動。

  • However, going from a very low level to then ramping it up here and there, it doesn't always go as smooth as we would want.

    然而,從一個非常低的水平到然後在這里和那裡逐漸增加,它並不總是像我們想要的那樣順利。

  • That's why I alluded to a 1- or 2-quarter delay to the capacity ramp to, let's say, 40, 45 units.

    這就是為什麼我提到將產能提升到 40、45 個單位的時間延遲 1 或 2 個季度。

  • And that's where we are.

    這就是我們所在的地方。

  • And I think it's not so much a matter of money.

    而且我認為這不是錢的問題。

  • Money doesn't always help you.

    金錢並不總是幫助你。

  • It's just a matter of can you get the people on time trained, can you get the materials on time with long lead times.

    這只是一個問題,您能否按時培訓人員,是否能按時獲得材料,並且交貨時間長。

  • And then you could argue money will help you to put -- to get people in faster.

    然後你可能會爭辯說金錢會幫助你投入——讓人們更快地加入。

  • But the learning curve is the learning curve.

    但是學習曲線就是學習曲線。

  • So these are the kind of things that we are -- as we're driving together with our suppliers, and this is what we -- what the current status is.

    所以這些就是我們的現狀——當我們與供應商一起推動時,這就是我們——目前的狀態。

  • Now rest assured, we'll do anything to get more out.

    現在請放心,我們會盡一切努力獲得更多信息。

  • If the demand is higher, then we'll just put everything that we can.

    如果需求更高,那麼我們將盡我們所能。

  • But I'm afraid money alone will not do it.

    但恐怕單靠錢是做不到的。

  • So it has to be people, knowledge, and how far we can push it is too early to say.

    所以它必須是人,知識,我們能把它推到多遠還為時過早。

  • Operator

    Operator

  • The next question comes from Mr. Jagadish Iyer.

    下一個問題來自 Jagadish Iyer 先生。

  • Jagadish Kalyanam Iyer - MD and Senior Analyst

    Jagadish Kalyanam Iyer - MD and Senior Analyst

  • Two questions.

    兩個問題。

  • First, Peter, one of the things that some of the companies were involved in multi-patterning have been settings that EUV insertion will initially happen for vias and cuts.

    首先,Peter,一些公司參與多重圖案化的一件事是設置 EUV 插入最初將用於通孔和切口。

  • But looking at the cartoon on Slide 17, it looks like your insertion is going to be for metal lines and spaces.

    但是看看幻燈片 17 上的卡通,看起來您的插入將用於金屬線和空間。

  • Just want to understand the disconnect where we are on that in terms of that.

    只是想了解我們在這方面的脫節。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Well, you should ask the other companies.

    好吧,你應該問其他公司。

  • Jagadish Kalyanam Iyer - MD and Senior Analyst

    Jagadish Kalyanam Iyer - MD and Senior Analyst

  • Okay, okay.

    好吧好吧。

  • So the second follow-up question I wanted to ask you is that you did mention in your prepared remarks about China where you said there are about 5 fabs.

    所以我想問你的第二個後續問題是,你在準備好的關於中國的評論中確實提到了大約有 5 家晶圓廠。

  • How would you characterize between the need for leading edge versus the trailing edge there?

    您如何描述對前沿和後沿的需求之間的關係?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • I think that's a good question, interesting question, but it is not the case at all 5 of those customers are all leading edge.

    我認為這是一個很好的問題,很有趣的問題,但根本不是這樣,這些客戶中有 5 個都是領先的。

  • And then you have to define what is trailing edge.

    然後你必須定義什麼是後緣。

  • If you would say in logic, 28 nanometers and below, you qualify as leading edge, then all the logic is leading edge.

    如果你在邏輯上說,28 納米及以下,你有資格成為前沿,那麼所有的邏輯都是前沿。

  • Now for memory, at 2 DRAM initiatives there, there are definitely -- I would call them leading edge if look at the nodes that they are focusing on.

    現在對於內存,在 2 個 DRAM 計劃中,肯定有——如果看看他們關注的節點,我會稱之為前沿。

  • And in 3D NAND, it's 3D NAND.

    在 3D NAND 中,它是 3D NAND。

  • What's leading edge?

    什麼是前沿?

  • It's a number of layers, yes?

    它有好幾層,是嗎?

  • And I think that is where they will start on the learning curve and will not be immediately 64 or 72 layers, but it's going to be a learning curve.

    我認為這是他們開始學習曲線的地方,不會立即達到 64 或 72 層,但這將是一個學習曲線。

  • Now you have to put that into perspective of why China has taken this step.

    現在你必須從這個角度來理解中國為什麼要採取這一步。

  • China's taken this step from a very strategic point of view.

    中國從一個非常戰略的角度邁出了這一步。

  • In the discussion we have had, very clear that the reason why the stepping up is this, let's say, investment in capacity, leading-edge capacity, is because of the dependence that they currently have on non-Chinese companies who provide China with the right technology.

    在我們的討論中,很清楚,之所以加緊這個,比如說,對產能、前沿產能的投資,是因為他們目前對非中國公司的依賴,這些公司為中國提供了正確的技術。

  • And the geopolitical situation is -- has not become more stable or more reliable or more trustworthy from their point of view.

    從他們的角度來看,地緣政治局勢並沒有變得更穩定、更可靠或更值得信賴。

  • So China has decided that leading technology, leading-edge technology should also be local.

    所以中國決定了技術領先,前沿技術也應該是本土的。

  • And that fits perfectly in the 5-year plan.

    這完全符合 5 年計劃。

  • If you read their 5-year plan, that's what they want.

    如果您閱讀他們的 5 年計劃,這就是他們想要的。

  • So I don't think that the investment money that will be allocated to those companies that are focusing on trailing-edge technology, that's not going to happen.

    因此,我認為分配給那些專注於前沿技術的公司的投資資金不會發生。

  • It's going to be all leading edge.

    這將是所有前沿。

  • Operator

    Operator

  • The next question comes from Mr. Robert Sanders.

    下一個問題來自羅伯特·桑德斯先生。

  • Robert Duncan Cobban Sanders - Director

    Robert Duncan Cobban Sanders - Director

  • It's Deutsche Bank.

    是德意志銀行。

  • Quick follow-up for Wolfgang on this deferral question.

    沃爾夫岡在這個延期問題上的快速跟進。

  • So how should we think about Q4 gross margin, given the deferred revenue into 2018?

    那麼,考慮到 2018 年的遞延收入,我們應該如何看待第四季度的毛利率?

  • And then I guess flip of that is what -- how should we think about 2018 gross margin, given this rather skewing effect?

    然後我猜那是什麼——考慮到這種相當傾斜的效應,我們應該如何看待 2018 年的毛利率?

  • Then I have a follow-up.

    然後我有一個跟進。

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • I think it's a little bit early to hash out.

    我認為現在討論還為時過早。

  • We haven't even given a revenue guidance for Q4, and I think I'm not going to start with the gross margin guidance.

    我們甚至沒有給出第四季度的收入指導,我想我不會從毛利率指導開始。

  • I mean, in general, it's clearer next year.

    我的意思是,總的來說,明年會更清楚。

  • Even if we -- I think I've said before, if we defer revenue, in general, it makes it a little bit more difficult to get to the breakeven, but it makes it more simple to get over the 20% next year.

    即使我們 - 我想我之前說過,如果我們推遲收入,一般來說,實現盈虧平衡會有點困難,但明年要超過 20% 會更容易。

  • It's a bit of a positive effect.

    這有點積極的影響。

  • But next year, it just depends on -- we got to just see the overall business, and it depends on the rest of the business, right?

    但明年,這取決於——我們必須看到整體業務,這取決於其他業務,對吧?

  • Because if -- I think Amit did the math before, if you're going from EUR 1 billion to over EUR 2 billion and even if you go from around breakeven to 20%, it's still far below the average of the rest of the business.

    因為如果——我認為 Amit 之前做過計算,如果你從 10 億歐元增加到超過 20 億歐元,即使你從盈虧平衡到 20%,它仍然遠低於其他業務的平均水平.

  • So without a specific number, which I'm not going to give today, on the non-EUV business for 2018, I can't answer the gross margin question either.

    因此,如果沒有關於 2018 年非 EUV 業務的具體數字(我今天不會給出),我也無法回答毛利率問題。

  • But I think the most important thing for us is what I said earlier.

    但我認為對我們來說最重要的是我之前所說的。

  • Our confidence in EUV volume and EUV gross margin is growing.

    我們對 EUV 數量和 EUV 毛利率的信心正在增長。

  • Our gross margin in DUV, in CLS, in HMI, in applications is healthy.

    我們在 DUV、CLS、HMI 和應用方面的毛利率是健康的。

  • It's exactly where we need it to be, and therefore, we feel very comfortable that we get all the 50% in 2020.

    這正是我們需要的地方,因此,我們對在 2020 年獲得全部 50% 感到非常滿意。

  • But it's too early to talk about Q4 and next year's specifics, Rob.

    但現在談論第四季度和明年的細節還為時過早,Rob。

  • Robert Duncan Cobban Sanders - Director

    Robert Duncan Cobban Sanders - Director

  • Got it, fair enough.

    明白了,夠公平的。

  • I just had a follow-up on the 250 watts demo.

    我剛剛跟進了 250 瓦演示。

  • Looks like you're going to get that in the field by 2019.

    看起來你將在 2019 年之前在該領域實現這一目標。

  • So given that that's beyond the performance spec, how will you monetize that?

    因此,鑑於這超出了性能規格,您將如何通過它獲利?

  • I assume through a software upgrade.

    我假設通過軟件升級。

  • But how should we think about the value of that software upgrade in terms of when you look out to 2019?

    但是,當您展望 2019 年時,我們應該如何看待軟件升級的價值呢?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes, I think it's a good question.

    是的,我認為這是一個很好的問題。

  • One correction, I think, 250 watts is not going to be 2019.

    我認為,250 瓦不會是 2019 年的一次修正。

  • We have 2 in the 250 watts now.

    我們現在有 2 個 250 瓦。

  • We have the modules that will be inserted in our tool shipments by the end of year, which will provide our customers with 250 watts.

    我們的模塊將在年底前插入我們的工具發貨中,這將為我們的客戶提供 250 瓦的功率。

  • So we'll be available as of next year, yes, so one.

    所以我們將在明年上市,是的,所以一個。

  • Two, the -- as you know, because you've been following the company for a long time, the value of our tool is really driven by the ability of our customers to keep cutting cost, the cost per wafer, and that -- the biggest driver there is productivity.

    第二,正如你所知,因為你已經關注公司很長時間了,我們工具的價值實際上是由我們的客戶保持削減成本的能力驅動的,每個晶圓的成本,以及——最大的驅動力是生產力。

  • So if, going forward, we can improve, for instance, the transmission of the lens, we can improve the transmission of the pellicle, which all takes -- currently takes a way bit of light.

    因此,如果我們可以改進,例如,鏡頭的透射率,我們可以提高薄膜的透射率,這一切都需要 - 目前需要一點光。

  • The -- like I said in the prepared remarks, customers are progressing on the sensitivity of the photoresist.

    - 就像我在準備好的評論中所說的那樣,客戶正在提高光刻膠的敏感性。

  • These are all things that are actually helping to get more light on the wafer.

    這些實際上都有助於在晶圓上獲得更多光。

  • When you get more light on the wafer, you move the wafer faster.

    當您在晶圓上獲得更多光線時,您可以更快地移動晶圓。

  • When you move the wafer beyond 125 wafers per hour and you can guarantee that, then the tool provides more value.

    當您每小時移動晶圓超過 125 個晶圓並且您可以保證這一點時,該工具將提供更多價值。

  • And that's exactly how we're going to do this, and this is a general concept which customers accept.

    這正是我們要做的事情,這是客戶接受的一般概念。

  • Now when we give them -- instead of 125, 145 wafers per hour, 145, then we'll charge a higher price because we basically split the value of that 20 extra wafers, 50% for the customer, 50% for ASML.

    現在,當我們給它們時——而不是每小時 125、145 個晶圓,145 個,那麼我們將收取更高的價格,因為我們基本上平分了額外 20 個晶圓的價值,50% 給客戶,50% 給 ASML。

  • So that will also mean a higher sales price for the EUV system.

    因此,這也意味著 EUV 系統的銷售價格更高。

  • But that happens after we can guarantee the over 125 wafers per hour performance, which is the function of the things that I just mentioned.

    但這發生在我們可以保證每小時超過 125 個晶圓的性能之後,這就是我剛才提到的東西的功能。

  • Craig DeYoung - VP of IR - ASML Tempe

    Craig DeYoung - VP of IR - ASML Tempe

  • Ladies and gentlemen, I'm afraid we ran out of time today.

    女士們,先生們,恐怕我們今天沒時間了。

  • If you're unable to get through onto the call and still have a question, feel free to contact ASML's Investor Relations department, and we'll get back to you as quickly as we can to answer your questions.

    如果您無法接通電話並且仍有問題,請隨時聯繫 ASML 的投資者關係部門,我們會盡快回复您以回答您的問題。

  • Now on behalf of ASML's board and management, I'd like to thank you all for joining the call today.

    現在,我代表 ASML 的董事會和管理層,感謝大家今天加入電話會議。

  • And Peter, if we could formally conclude the call, that'd be great.

    彼得,如果我們能正式結束通話,那就太好了。

  • Thank you very much.

    非常感謝。

  • Operator

    Operator

  • Ladies and gentlemen, this concludes the ASML 2017 Second Quarter Financial Results Conference Call.

    女士們先生們,ASML 2017 年第二季度財務業績電話會議到此結束。

  • Thank you for participating.

    感謝您的參與。

  • You may now disconnect.

    您現在可以斷開連接。