艾司摩爾 (ASML) 2017 Q1 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Ladies and gentlemen, thank you for standing by.

    女士們,先生們,謝謝你們的支持。

  • Welcome to the ASML 2017 First Quarter Financial Results Conference Call on April 19, 2017.

    歡迎參加 2017 年 4 月 19 日舉行的 ASML 2017 年第一季度財務業績電話會議。

  • (Operator Instructions)

    (操作員說明)

  • I would now like to turn the conference call over to Mr. Craig DeYoung.

    我現在想將電話會議轉交給 Craig DeYoung 先生。

  • Go ahead please, sir.

    請繼續,先生。

  • Craig DeYoung - VP of IR - ASML Tempe

    Craig DeYoung - VP of IR - ASML Tempe

  • Thank you, Peter.

    謝謝你,彼得。

  • And good afternoon and good morning, ladies and gentlemen.

    下午好,早上好,女士們,先生們。

  • This is Craig DeYoung, Vice President of Investor Relations at ASML.

    我是 ASML 投資者關係副總裁 Craig DeYoung。

  • Joining me today from ASML's headquarters in Veldhoven, the Netherlands, is ASML CEO Peter Wennink; and our CFO, Wolfgang Nickl.

    今天從 ASML 位於荷蘭 Veldhoven 的總部與我會面的是 ASML 首席執行官 Peter Wennink;和我們的首席財務官 Wolfgang Nickl。

  • The subject of today's call is ASML's 2017 first quarter results.

    今天電話會議的主題是 ASML 2017 年第一季度業績。

  • The length of the call will be 60 minutes and questions will be taken in the order that they are received.

    通話時間為 60 分鐘,問題將按照收到的順序進行處理。

  • This call is also being broadcast live over the Internet at www.asml.com.

    此次電話會議也通過互聯網在 www.asml.com 上進行直播。

  • A transcript of management's opening remarks and a replay of the call will be available on our website shortly following the conclusion of the call.

    電話會議結束後不久,我們將在我們的網站上提供管理層的開幕致辭和電話會議的重播。

  • Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meanings of the federal securities laws.

    在我們開始之前,我想提醒聽眾,管理層在本次電話會議期間發表的評論將包括聯邦證券法含義內的前瞻性陳述。

  • These forward-looking statements involve material risks and uncertainties.

    這些前瞻性陳述涉及重大風險和不確定性。

  • For a discussion of risk factors, I encourage you to review the safe harbor statement contained in today's press release and presentation found on our website at asml.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

    對於風險因素的討論,我鼓勵您查看今天的新聞稿和演示文稿中包含的安全港聲明,該聲明和演示可在我們的網站 asml.com 以及 ASML 的 20-F 表格年度報告和提交給證券和交易委員會。

  • And with that, I'd like to turn the call over to Peter Wennink for a brief introduction.

    有了這個,我想把電話轉給 Peter Wennink 進行簡要介紹。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Thank you, Craig.

    謝謝你,克雷格。

  • Good morning.

    早上好。

  • Good afternoon, ladies and gentlemen, and thank you for joining us for our first quarter results conference call.

    下午好,女士們,先生們,感謝您參加我們的第一季度業績電話會議。

  • And before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and some commentary on the recent quarter, as well as provide our view of the coming quarters.

    在我們開始問答環節之前,沃爾夫岡和我想對最近一個季度進行概述和一些評論,並提供我們對未來幾個季度的看法。

  • Wolfgang will start with a review of our first quarter financial performance with some added comments on our short-term outlook.

    Wolfgang 將首先回顧我們第一季度的財務業績,並對我們的短期前景進行一些補充評論。

  • And I will complete the introduction with some additional comments on the current business environment and on our future business outlook.

    我將完成介紹,並對當前的商業環境和我們未來的商業前景發表一些額外的評論。

  • Wolfgang, if you will?

    沃爾夫岡,你願意嗎?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Thank you, Peter.

    謝謝你,彼得。

  • And welcome, everyone.

    歡迎大家。

  • 2017 is off to a great start with a stronger-than-expected quarter.

    2017 年開局良好,季度業績好於預期。

  • I would like to first highlight some of last quarter's financial accomplishments and then finish with our view of the coming quarter.

    我想首先強調上一季度的一些財務成就,然後以我們對下一季度的看法結束。

  • Turning to the Q1 results.

    轉向第一季度的結果。

  • Net sales came in at EUR 1.94 billion.

    淨銷售額為 19.4 億歐元。

  • Net system sales accounted for EUR 1.22 billion, nicely balanced between logic and memory.

    系統淨銷售額為 12.2 億歐元,在邏輯和內存之間取得了很好的平衡。

  • With the addition of HMI products, we are now including metrology and inspection equipment in the system sales versus previously reporting it as service and field option revenue.

    隨著 HMI 產品的添加,我們現在將計量和檢測設備納入系統銷售,而不是之前將其報告為服務和現場選項收入。

  • This also means that metrology and inspection systems orders are from now onwards included in our booking and backlog numbers.

    這也意味著計量和檢測系統訂單從現在開始包含在我們的預訂和積壓數量中。

  • This provides more visibility of our current and future system business in this product group.

    這為我們在該產品組中當前和未來的系統業務提供了更多可見性。

  • Net service and field option sales for the quarter came in much stronger than expected at a level of EUR 728 million, driven by major DUV and Holistic Lithography upgrades.

    受主要 DUV 和整體光刻技術升級的推動,本季度的淨服務和現場選項銷售額達到 7.28 億歐元,遠高於預期。

  • As noted, YieldStar and HMI system revenue are now reported in net system revenue.

    如前所述,YieldStar 和 HMI 系統收入現在以淨系統收入報告。

  • Otherwise the service and field option revenue would have been even higher at approximately EUR 790 million.

    否則,服務和現場選項收入會更高,約為 7.9 億歐元。

  • Our gross margin for the quarter came in at 47.6%, slightly higher than guided, driven by a higher top line and favorable mix.

    在較高的收入和有利的組合的推動下,我們本季度的毛利率為 47.6%,略高於指導值。

  • Gross margin includes the amortization of intangibles, as well as the effects from the fair value assessment of HMI's inventory as of the closing date of the acquisition.

    毛利率包括無形資產的攤銷,以及截至收購結束日期對 HMI 庫存的公允價值評估的影響。

  • Overall, OpEx came in as guided, although R&D expenses came in slightly lower at EUR 315 million and SG&A expenses came in slightly higher at EUR 99 million.

    總體而言,運營支出符合預期,儘管研發費用略低,為 3.15 億歐元,SG&A 費用略高,為 9900 萬歐元。

  • Moving on to the order book.

    轉到訂單簿。

  • Q1 system bookings came in at EUR 1.9 billion, including orders for 3 3400 EUV systems from 2 customers.

    第一季度的系統預訂額為 19 億歐元,其中包括來自 2 個客戶的 3 3400 EUV 系統的訂單。

  • Strong bookings continued in the logic sector in support of the 10-nanometer ramps and in support of the EUV insertion at the 7-nanometer node.

    支持 10 納米坡道和支持在 7 納米節點插入 EUV 的邏輯部門繼續強勁預訂。

  • Memory bookings strengthened further from its strong Q4 level supporting expected year-on-year growth in the memory sector in 2017.

    內存預訂量從第四季度的強勁水平進一步增強,支持 2017 年內存行業的預期同比增長。

  • The continuing order flow for EUV systems increases our EUV backlog to 21 systems valued at EUR 2.3 billion.

    EUV 系統的持續訂單使我們的 EUV 積壓訂單增加到 21 個系統,價值 23 億歐元。

  • Our overall systems backlog now stands at EUR 4.5 billion.

    我們的整體系統積壓現在為 45 億歐元。

  • In addition, we also have 4 EUV upgrade orders valued at approximately EUR 200 million.

    此外,我們還有4個EUV升級訂單,價值約2億歐元。

  • This will bring these 4 NXE systems to NXE:3400 performance.

    這將使這 4 個 NXE 系統達到 NXE:3400 的性能。

  • In total, we have 14 3300 and 3350 systems in the field, which are candidates for upgrades.

    我們在現場總共有 14 台 3300 和 3350 系統,它們是升級的候選者。

  • As a reminder, system upgrades are not included in our system backlog.

    提醒一下,系統升級不包括在我們的系統積壓中。

  • Turning to the balance sheet.

    轉向資產負債表。

  • Quarter-over-quarter cash, cash equivalents and short-term investments came in at EUR 3.84 billion.

    季度現金、現金等價物和短期投資為 38.4 億歐元。

  • As already mentioned in January, we saw a significant level of early payments from customers in Q4 of last year, which resulted in a negative free cash flow of EUR 212 million in Q1.

    正如 1 月份已經提到的,我們在去年第四季度看到大量客戶提前付款,這導致第一季度出現 2.12 億歐元的負自由現金流。

  • As a reminder, in Q2, we have several extraordinary cash outflows, which will bring the overall cash balance back to our target level.

    提醒一下,在第二季度,我們有幾筆非同尋常的現金流出,這將使整體現金餘額回到我們的目標水平。

  • Assuming approval at our AGM, we will pay a dividend of EUR 1.20 per ordinary share or approximately EUR 515 million in total to shareholders.

    假設在我們的年度股東大會上獲得批准,我們將向股東支付每股普通股 1.20 歐元或總計約 5.15 億歐元的股息。

  • We also have a bond maturing in Q2 with an outstanding value of EUR 238 million.

    我們還有一隻在第二季度到期的債券,其未償價值為 2.38 億歐元。

  • And lastly, we expect to close the acquisition of 24.9% of Carl Zeiss SMT during the quarter for EUR 1 billion.

    最後,我們預計將在本季度以 10 億歐元完成對 Carl Zeiss SMT 24.9% 的收購。

  • Based on our current business view, we see a continued strong demand for DUV, Holistic Lithography and EUV products throughout the year in both memory and logic.

    根據我們目前的業務觀點,我們看到全年在內存和邏輯方面對 DUV、整體光刻和 EUV 產品的需求持續強勁。

  • Our view is supported by our highest backlog ever.

    我們的觀點得到了我們有史以來最高的積壓工作的支持。

  • With that, I would like to turn to our expectations and guidance for the second quarter of 2017.

    有了這個,我想談談我們對 2017 年第二季度的預期和指導。

  • We expect continuing sales strength in Q2 with total net sales between EUR 1.9 billion and EUR 2 billion, including an estimated EUR 200 million of EUV revenue.

    我們預計第二季度的銷售將持續強勁,總淨銷售額在 19 億歐元至 20 億歐元之間,其中包括估計為 2 億歐元的 EUV 收入。

  • We plan to ship 3 NXE:3400s in the June quarter.

    我們計劃在六月季度出貨 3 台 NXE:3400。

  • Our EUV shipment plan for the full year includes 12 systems and is back-end loaded.

    我們全年的 EUV 出貨計劃包括 12 個系統並且是後端加載的。

  • We expect our Q2 service and field options revenue to again come in above EUR 650 million, driven by continued demand for Holistic Lithography options, high-value upgrades and our growing installed base.

    由於對整體光刻選項的持續需求、高價值升級和我們不斷增長的安裝基礎,我們預計第二季度服務和現場選項收入將再次超過 6.5 億歐元。

  • Gross margin for Q2 is expected to be between 43% and 44%, driven by the recognition of EUV system revenue.

    受 EUV 系統收入確認的推動,第二季度的毛利率預計在 43% 至 44% 之間。

  • Excluding the EUV revenue, gross margin would be approximately at the same level as Q1.

    剔除 EUV 收入,毛利率將與第一季度大致相同。

  • Q2 gross margin also continues to carry the effect from the purchase price allocation for the HMI acquisition.

    第二季度毛利率也繼續受到 HMI 收購的購買價格分配的影響。

  • The negative impact of these purchase price allocation adjustments for Q2 is more than 1 percentage point.

    這些採購價格分配調整對第二季度的負面影響超過 1 個百分點。

  • The impact for the full fiscal year is about EUR 90 million and will reduce to about EUR 40 million per year from 2018 onwards.

    整個財年的影響約為 9000 萬歐元,從 2018 年起將減少至每年約 4000 萬歐元。

  • R&D expenses for Q2 will be about EUR 315 million and SG&A is expected to come in at about EUR 100 million.

    第二季度的研發費用約為 3.15 億歐元,SG&A 預計約為 1 億歐元。

  • As a reminder, our share buyback program remains paused for the time being as we close our planned equity investment in Carl Zeiss SMT.

    提醒一下,我們的股票回購計劃暫時暫停,因為我們完成了對 Carl Zeiss SMT 的計劃股權投資。

  • The remaining approval from China is expected in time to close the transaction in Q2 2017.

    中國的剩餘批准預計將在 2017 年第二季度及時完成交易。

  • And finally, as mentioned before, an increase of our annual dividend from EUR 1.05 to EUR 1.20 is submitted for approval at our Annual General Meeting of Shareholders on April 26.

    最後,如前所述,我們將年度股息從 1.05 歐元增加到 1.20 歐元,並提交給 4 月 26 日的年度股東大會批准。

  • With that, I'd like to turn the call back over to you, Peter.

    有了這個,我想把電話轉給你,彼得。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Thank you, Wolfgang.

    謝謝你,沃爾夫岡。

  • As Wolfgang highlighted, our business continues to perform well.

    正如 Wolfgang 所強調的,我們的業務繼續表現良好。

  • We started the year with a very strong quarter, and we expect this positive momentum to continue throughout the year.

    我們以非常強勁的季度開始了今年,我們預計這一積極勢頭將在全年持續。

  • While Wolfgang reviewed our current quarter performance and outlook for the coming quarter, I would like to provide some additional commentary on our markets and our longer-term outlook as well as provide a few highlights on our product portfolio.

    雖然 Wolfgang 回顧了我們當前季度的業績和下一季度的展望,但我想就我們的市場和我們的長期前景提供一些額外的評論,並提供一些關於我們產品組合的亮點。

  • As seen in our first quarter results, logic demand remains solid.

    從我們第一季度的業績中可以看出,邏輯需求仍然穩固。

  • And our memory demand continues to strengthen with DRAM largely compensating for weak spending in 2016.

    我們的內存需求繼續增強,DRAM 在很大程度上彌補了 2016 年疲軟的支出。

  • Logic demand is driven by continued ramp of 10-nanometer with memory demand driven by DRAM 1x nanometer node and additions of 3D NAND capacity.

    邏輯需求由 10 納米的持續增長推動,而內存需求由 DRAM 1x 納米節點和增加的 3D NAND 容量推動。

  • The strength in shipments to China this quarter was driven by existing Chinese and non-Chinese customers.

    本季度對中國的出貨量強勁是由現有的中國和非中國客戶推動的。

  • As for new China business, we are in discussion with multiple Chinese logic and memory customers regarding timing of system demand for their new fab projects.

    至於新中國業務,我們正在與多家中國邏輯和內存客戶就其新晶圓廠項目的系統需求時間進行討論。

  • We expect shipments to support pilot production in these new fabs starting in 2018.

    我們預計從 2018 年開始,出貨量將支持這些新晶圓廠的試生產。

  • While it is still too early to provide quantitative guidance for 2017, our directional view, as expressed last quarter, remains largely unchanged.

    雖然現在為 2017 年提供量化指導還為時過早,但我們上個季度表達的方向性觀點基本保持不變。

  • However, in terms of potential magnitude of our business, it now appears that memory demand will be up significantly as compared to prior year.

    然而,就我們業務的潛在規模而言,現在看來內存需求將比去年顯著增加。

  • On the ASML product side, let me start with an update on our EUV business.

    在 ASML 產品方面,讓我先介紹一下我們的 EUV 業務。

  • We started shipment of our NXE:3400 system, which will be the EUV workhorse in volume manufacturing over the coming years.

    我們開始出貨我們的 NXE:3400 系統,它將成為未來幾年量產的 EUV 主力。

  • Furthermore, we continue to make progress towards our 125 wafer per hour productivity and 90% availability commitment.

    此外,我們繼續朝著每小時 125 片晶圓的生產率和 90% 的可用性承諾取得進展。

  • At the SPIE Advanced Lithography Conference in February, our customers presented their latest results confirming our progress on these metrics.

    在 2 月份的 SPIE 高級光刻會議上,我們的客戶展示了他們的最新結果,證實了我們在這些指標上取得的進展。

  • The status of the EUV infrastructure was also presented by our customers.

    我們的客戶還介紹了 EUV 基礎設施的狀態。

  • And while there's still work to be done on things like pellicle, there appear to be no major roadblocks for EUV insertion in the time frames as indicated by our customers.

    儘管在防護膜等方面仍有工作要做,但在我們的客戶所指出的時間範圍內,似乎沒有重大障礙用於 EUV 插入。

  • Regarding demand, we took 3 EUV production orders from 2 different customers this quarter, bringing our total EUV backlog to 21 systems.

    在需求方面,本季度我們從 2 個不同的客戶那裡獲得了 3 個 EUV 生產訂單,使我們的 EUV 積壓總量達到 21 個系統。

  • And as Wolfgang mentioned, on top of this, we booked 4 orders for a total value of around EUR 200 million for upgrades of EUV systems currently in the field to NXE:3400 production specifications.

    正如 Wolfgang 所說,除此之外,我們還訂購了 4 個訂單,總價值約為 2 億歐元,用於將目前在現場的 EUV 系統升級到 NXE:3400 生產規格。

  • And by the way, these orders are field upgrades and do not show in our reported order backlog.

    順便說一句,這些訂單是現場升級,不會顯示在我們報告的訂單積壓中。

  • EUV order flow continues while we work to finalize a VPA with at least one of our major customers, which will translate into additional orders over the next quarters.

    在我們努力與至少一個主要客戶敲定 VPA 的同時,EUV 訂單流仍在繼續,這將轉化為未來幾個季度的額外訂單。

  • As customers continue to assess timing of their roadmaps and firm up the layer adoption, we're beginning to get a clearer view of EUV demand for next year.

    隨著客戶繼續評估其路線圖的時間安排並堅定採用層,我們開始更清楚地了解明年的 EUV 需求。

  • The average analyst demand expectation stands at around 20 new systems shipped in 2018 which, given our current view seems reasonable, while we still have the option to build up to 24 systems next year.

    分析師的平均需求預期為 2018 年出貨的大約 20 個新系統,鑑於我們目前的觀點,這似乎是合理的,而我們明年仍然可以選擇構建多達 24 個系統。

  • In DUV lithography, demand for our TWINSCAN NXT:1980Di immersion systems continues for both logic 10-nanometer and DRAM 1x nanometer nodes, bringing the installed base to more than 60 systems.

    在 DUV 光刻中,邏輯 10 納米和 DRAM 1x 納米節點對我們的 TWINSCAN NXT:1980Di 浸入式系統的需求持續增長,使安裝基礎達到 60 多個系統。

  • We're also seeing strong demand on our KrF platform where we boosted the productivity of our XT:860 system further to 250 wafers per hour.

    我們還看到對 KrF 平台的強勁需求,我們將 XT:860 系統的生產力進一步提高到每小時 250 片晶圓。

  • For our 3D NAND customers, we released new options that improve focus and alignment performance of high-topography layers typical for this application.

    對於我們的 3D NAND 客戶,我們發布了新選項,可提高此應用中典型的高拓撲層的聚焦和對齊性能。

  • And to maximize capital efficiency, a number of customers also upgraded their immersion systems through significant announcements to productivity, imaging and overlay.

    為了最大限度地提高資本效率,許多客戶還通過對生產力、成像和疊加的重大公告升級了他們的沉浸式系統。

  • And these upgrades drove significant growth in our option business, which will continue to drive growth through 2017.

    這些升級推動了我們期權業務的顯著增長,這將在 2017 年繼續推動增長。

  • In Holistic Lithography, we continue to ship our most advanced YieldStar 350 metrology systems to our customers, supporting qualification and ramp of the 10- and 7-nanometer logic node, as well as the 1x nanometer DRAM node.

    在整體光刻方面,我們繼續向客戶提供最先進的 YieldStar 350 計量系統,支持 10 納米和 7 納米邏輯節點以及 1x 納米 DRAM 節點的認證和升級。

  • In addition to YieldStar metrology systems, we're also shipping HMI e-beam systems that are now reported as part of our systems revenue as Wolfgang mentioned.

    除了 YieldStar 計量系統外,我們還提供 HMI 電子束系統,正如 Wolfgang 所說,這些系統現在被報告為我們系統收入的一部分。

  • The integration of HMI is progressing well and customer interest in our pattern fidelity products remains high.

    HMI 的集成進展順利,客戶對我們的圖案保真產品的興趣仍然很高。

  • So in summary, a great start to the year with a very solid quarter.

    總而言之,今年的開局非常穩健,一個非常穩健的季度。

  • Strong DUV demand, service and options business show a further growth momentum and continued EUV order flow provides a clear indication that this technology has now become a part of our mainstream business.

    強勁的 DUV 需求、服務和選項業務顯示出進一步的增長勢頭,持續的 EUV 訂單流清楚地表明該技術現已成為我們主流業務的一部分。

  • We expect the positive industry environment to continue resulting in a very good year for ASML.

    我們預計積極的行業環境將繼續為 ASML 帶來非常好的一年。

  • And with that, we'd be happy to take your questions.

    有了這個,我們很樂意回答您的問題。

  • Craig DeYoung - VP of IR - ASML Tempe

    Craig DeYoung - VP of IR - ASML Tempe

  • Thanks, Peter.

    謝謝,彼得。

  • Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session.

    女士們,先生們,接線員將立即指導您問答環節的協議。

  • (Operator Instructions) Now Peter, could we have your final instructions and then the first question, please?

    (操作員說明)現在,彼得,我們可以給您最後的說明,然後是第一個問題嗎?

  • Operator

    Operator

  • (Operator Instructions) The first question comes from Mr. C.J. Muse.

    (操作員說明)第一個問題來自 C.J. Muse 先生。

  • Christopher James Muse - Senior MD, Senior Equity Research Analyst and Fundamental Research Analyst

    Christopher James Muse - Senior MD, Senior Equity Research Analyst and Fundamental Research Analyst

  • C.J. Muse, Evercore ISI.

    C.J. Muse,Evercore ISI。

  • I guess first question, I was hoping to get an update from you on your expectations for adoption of EUV by DRAM.

    我想第一個問題,我希望從您那裡得到最新消息,了解您對 DRAM 採用 EUV 的期望。

  • I saw on the slide deck that you reiterated 1y.

    我在幻燈片上看到你重申了 1y。

  • Would love to hear how your discussions are progressing and how you're seeing adoption?

    很想听聽您的討論進展如何以及您如何看待採用情況?

  • And what kind of layer count we should be assuming at that first part of the adoption curve?

    在採用曲線的第一部分,我們應該假設什麼樣的層數?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Okay.

    好的。

  • Yes, like we said, we are talking to logic and memory customers.

    是的,就像我們說的,我們正在與邏輯和內存客戶交談。

  • So on DRAM, the expectation is indeed -- 1y to be specific, it's the mid-teens, 16, 15-nanometer DRAM.

    所以在 DRAM 上,預期確實是 - 具體來說是 1 年,它是 16 納米、15 納米 DRAM。

  • That's what -- that would be the introduction node.

    就是這樣——那將是介紹節點。

  • And that would involve 1 to 2 layers.

    這將涉及 1 到 2 層。

  • So you have to remember that, of course, the DRAM market is quite a significant market.

    所以你必須記住,當然,DRAM 市場是一個相當重要的市場。

  • So 1 to 2 layers is a decent start for EUV technology at this node.

    所以 1 到 2 層是 EUV 技術在這個節點上的一個不錯的開始。

  • And we're talking, at least, to one customer very specifically, but other customers have shown similar interest and they will follow suit.

    我們至少在非常具體地與一位客戶交談,但其他客戶也表現出類似的興趣,他們也會效仿。

  • Christopher James Muse - Senior MD, Senior Equity Research Analyst and Fundamental Research Analyst

    Christopher James Muse - Senior MD, Senior Equity Research Analyst and Fundamental Research Analyst

  • Very helpful.

    非常有幫助。

  • And then I guess as my follow-up, Wolfgang, if you could give us an update on how you're seeing the trajectory for EUV gross margins.

    然後我想作為我的後續行動,沃爾夫岡,如果你能給我們一個關於你如何看待 EUV 毛利率軌蹟的最新信息。

  • And I guess within that, would love to hear how you see the cascade effect of 100% gross margin biz as it comes through this year.

    我想在這其中,很想听聽你如何看待今年 100% 毛利率業務的級聯效應。

  • And then how we should see reaching that 20% target into the calendar '18 time frame.

    然後我們應該如何看待在 18 年日曆時間框架內達到 20% 的目標。

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Yes.

    是的。

  • C.J., so the story there is pretty much unchanged from what we communicated at our Capital Markets Day in late last year.

    C.J.,所以那裡的故事與我們去年年底在資本市場日交流的內容幾乎沒有變化。

  • We were at minus 75% or so last year.

    去年我們是負75%左右。

  • Our objective is to get to 40% by 2020.

    我們的目標是到 2020 年達到 40%。

  • We're targeting breakeven this year.

    我們今年的目標是收支平衡。

  • There were several components to get this accomplished.

    有幾個組件可以完成這項工作。

  • One is simply volume, shipping 3x as much as last year.

    一個就是數量,出貨量是去年的 3 倍。

  • As you can imagine, it's the same factory producing that, so that will help.

    正如你可以想像的那樣,它是同一家工廠生產的,所以這會有所幫助。

  • The second one was mix.

    第二個是混合。

  • Last year, we shipped 3350s.

    去年,我們出貨了 3350 個。

  • This year we're shipping 3400s.

    今年我們出貨 3400 支。

  • As you know from prior calls, the list price on those is approximately EUR 20 million higher.

    正如您從之前的電話中了解到的那樣,這些產品的標價大約高出 2000 萬歐元。

  • And the cost is not EUR 20 million higher, so that will help.

    而且成本不會高出 2000 萬歐元,所以這會有所幫助。

  • And then of course, we have the service business where we've talked before that we're charging per wafer, which of course, ultimately is a lucrative business model, but as we are not churning out a lot of wafers right now, but have to man the systems is a -- has a significant dilutive effect on gross margin.

    當然,我們有服務業務,我們之前談過,我們按晶圓收費,這當然最終是一個有利可圖的商業模式,但因為我們現在沒有大量生產晶圓,但是必須人為系統是一個 - 對毛利率有顯著的稀釋作用。

  • And last but not least, we are progressing on the learning curve as well as in our own factory, the number of hours to -- it takes to put one of these things together.

    最後但並非最不重要的一點是,我們正在學習曲線以及在我們自己的工廠中取得進展,將這些東西放在一起所需的小時數。

  • And also with our suppliers as well as work that we have to do in the field to upgrade these systems and bring them to the latest level.

    還與我們的供應商以及我們必須在現場做的工作來升級這些系統並將它們帶到最新的水平。

  • I think the expectation of 20% is still good for next year as we, again go from 12 systems to around 20 systems as mentioned earlier.

    我認為 20% 的預期對於明年仍然是好的,因為我們再次從 12 個系統增加到前面提到的大約 20 個系統。

  • And then, we made some progress last year where we can now do partial revenue recognition upon shipment.

    然後,我們去年取得了一些進展,現在我們可以在發貨時進行部分收入確認。

  • But again, it's partial revenue recognition because in case we have some performance criteria that will be met later, we got to defer some of the revenue.

    但同樣,這是部分收入確認,因為如果我們有一些稍後會滿足的績效標準,我們必須推遲一些收入。

  • But on the other hand side, it will help that some revenue that we deferred in the past will come in at no cost.

    但另一方面,我們過去推遲的一些收入將免費進入,這將有所幫助。

  • So that's why we believe in this year the deferral and what's coming in is roughly offsetting each other.

    所以這就是為什麼我們相信今年的延期和即將到來的事情大致相互抵消。

  • That's why we said also in our communication that the revenue should be somewhere in the EUR 1 billion to EUR 1.2 billion range.

    這就是為什麼我們也在溝通中說收入應該在 10 億歐元到 12 億歐元之間。

  • And again, I think we are on the right path to get to 40%.

    再說一次,我認為我們正走在達到 40% 的正確道路上。

  • And volume is the biggest driver of it.

    數量是它的最大驅動力。

  • Operator

    Operator

  • The next question comes from Mr. Farhan Ahmad.

    下一個問題來自 Farhan Ahmad 先生。

  • Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

    Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

  • This is Farhan Ahmad from Credit Suisse.

    這是瑞士信貸的法爾漢·艾哈邁德。

  • My first question is on the orders that you have this quarter.

    我的第一個問題是關於您本季度的訂單。

  • The [ order ] was pretty high -- strong.

    [訂單] 相當高——很強。

  • I just want to ask how sustainable do you think the order trend is.

    我只是想問一下,您認為訂單趨勢的可持續性如何。

  • And in particular, on the memory order.

    特別是在內存順序上。

  • (technical difficulty)

    (技術難度)

  • In particular on the memory orders, the orders are significantly higher than anytime in the last 2 years.

    特別是在內存訂單上,訂單明顯高於過去 2 年的任何時間。

  • Can you just, a bit more color on -- is it driven by capacity additions of 1x nanometer and any kind of visibility on whether it's NAND or DRAM?

    你能不能多說一點——它是由 1x 納米的容量增加以及它是 NAND 還是 DRAM 的任何可見性驅動的?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes, just making a small note here, NAND or DRAM.

    是的,只是在這裡做個小筆記,NAND 或 DRAM。

  • The orders in Q1, yes, I think that's sustainable for the next few quarters.

    第一季度的訂單,是的,我認為這在接下來的幾個季度是可持續的。

  • Given the fact that -- given the outlook that customers are giving us.

    鑑於 - 鑑於客戶給我們的前景。

  • And yes, it is significantly higher than the last 2 years.

    是的,它明顯高於過去 2 年。

  • But you have to remember, that what we saw over the last 2 years was especially weaker order flow from DRAM-type customers.

    但您必須記住,我們在過去 2 年看到的情況是來自 DRAM 類型客戶的訂單流尤其疲軟。

  • Because the DRAM market was -- over the last 18 to 24 months, not that strong.

    因為在過去的 18 到 24 個月裡,DRAM 市場並沒有那麼強勁。

  • And it had to do with the fact that in 2014, we ended -- beginning of 2015 and '14 of [ high mix ] (inaudible) assumption came online, which were big fabs that actually -- we actually saw when you added them up, it was quite a significant step-up in capacity.

    這與以下事實有關,這是一個相當大的容量提升。

  • But we haven't seen any new fabs coming online at that size since.

    但從那以後,我們還沒有看到任何新的晶圓廠以這種規模上線。

  • But what we did see -- and it has to do with your NAND and DRAM question, what we did see is that given the economics of the DRAM markets over the last 2 years, we've seen leading edge litho capacity being used in NAND productions.

    但我們確實看到了——這與您的 NAND 和 DRAM 問題有關,我們確實看到的是,鑑於過去 2 年 DRAM 市場的經濟狀況,我們已經看到 NAND 中使用了領先的光刻容量製作。

  • And that has actually happened, and I think we mentioned that on previous calls also, but bringing the wafer out capacity down with double-digit percentages.

    這實際上已經發生了,我認為我們在之前的電話會議中也提到了這一點,但是以兩位數的百分比降低了晶圓產能。

  • Now that has created the situation clearly where demand and supply of DRAM end products was somewhat unbalanced over the last 9 months, which led to increase in DRAM prices, which is not unsurprising that now customers are back filling that capacity that they actually used to basically produce NAND over the last couple of years.

    現在這明顯造成了過去 9 個月 DRAM 終端產品的供需有些不平衡的情況,這導致 DRAM 價格上漲,這並不奇怪,現在客戶正在重新填補他們實際使用的產能,這並不奇怪。過去幾年生產NAND。

  • And it also is an entry into your question on NAND versus DRAM.

    它也是您關於 NAND 與 DRAM 的問題的一個入口。

  • It makes it all very opaque if we have NAND and DRAM sitting next to each other.

    如果我們讓 NAND 和 DRAM 並排放置,這一切都會變得非常不透明。

  • And depending on the market situations, leading-edge litho can be used for either/or.

    並且根據市場情況,前沿光刻可用於非此即彼。

  • And that's why we look at memory now.

    這就是我們現在關注內存的原因。

  • And we said it also before as one segment.

    我們之前也說過它是一個片段。

  • But looking at it, let's say, from a bit demand point of view, what we currently believe and also looking at last year's bit growth numbers, 30% in DRAM, 40% plus in NAND, looking where the capacity situation is today, looking at the price situation, I can fully understand why memory customers are filling up their open spots in their fabs to make sure that they have sufficient capacity to fulfill the demand of their customers.

    但是從比特需求的角度來看,我們目前的看法,以及去年的比特增長數字,DRAM 30%,NAND 40% 以上,看看今天的產能情況,看看在價格的情況下,我完全可以理解為什麼內存客戶會在他們的晶圓廠中填補他們的空缺,以確保他們有足夠的產能來滿足客戶的需求。

  • Now sorry for the very long answer, but you asked 3 questions, so that's why I had a longer answer.

    現在很抱歉這個很長的答案,但是你問了 3 個問題,所以這就是為什麼我有一個更長的答案。

  • Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

    Farhan Ahmad - VP and Senior Analyst for Semiconductor Capital Equipment sector

  • And if I can just squeeze a quick question.

    如果我可以快速提出一個問題。

  • Last month, ASML signed MoU with Shanghai Micro Electronics Equipment Company.

    上個月,ASML 與上海微電子設備公司簽署了諒解備忘錄。

  • I believe they are one of your new emerging competitors in China.

    我相信他們是你們在中國新興的競爭對手之一。

  • So I just wanted to understand like what exactly is the terms of the agreement?

    所以我只是想了解協議的條款到底是什麼?

  • Is it something where you're just supplying the (inaudible) or is there more to it?

    是您只是提供(聽不清)的東西還是有更多的東西?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • It's a different kind of cooperation.

    這是一種不同的合作。

  • We're not competitors.

    我們不是競爭對手。

  • They build lithography-type machines for a different part of the market.

    他們為市場的不同部分製造光刻機。

  • They are largely active in the packaging market.

    他們主要活躍在包裝市場。

  • What we have done is effectively created a memorandum of understanding to start working together, whereby we actually use them as one of our suppliers, one of our suppliers in -- not so much in the lithography market, but more in the metrology systems area.

    我們所做的是有效地創建了一份諒解備忘錄,開始合作,我們實際上將它們用作我們的供應商之一,我們的供應商之一——與其說是在光刻市場,不如說是在計量系統領域。

  • And we have a cooperation which is focused on making sure that they will get a better understanding of how you manage a modern, complex supply chain.

    我們的合作重點是確保他們更好地了解您如何管理現代、複雜的供應鏈。

  • So it is not directly focused on areas where there could be logical competition between the 2 companies.

    因此,它並不直接關注兩家公司之間可能存在邏輯競爭的領域。

  • It's in different areas.

    它在不同的領域。

  • Operator

    Operator

  • The next question comes from Mr. Sandeep Deshpande.

    下一個問題來自 Sandeep Deshpande 先生。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • My question is regarding your revenues for 2017.

    我的問題是關於你們 2017 年的收入。

  • Peter, I mean when we look at the consensus ahead of today, it's about approximately EUR 7.9 billion.

    彼得,我的意思是,當我們看到今天之前的共識時,大約是 79 億歐元。

  • Last year, you did about EUR 7.6 billion.

    去年,你做了大約 76 億歐元。

  • Wolfgang, you've said that you're going to do revenues between EUR 1 billion to EUR 1.2 billion in EUV.

    Wolfgang,您曾說過您將通過 EUV 獲得 10 億歐元至 12 億歐元的收入。

  • So if you took EUR 1.1 billion as the midpoint, it would mean that this year, the additional revenue outside EUV is about EUR 100 million.

    所以如果以11億歐元為中點,則意味著今年EUV以外的額外收入約為1億歐元。

  • With DRAM particularly looking much better, are you more positive there are EUR 100 million incremental revenues from the memory market this year?

    由於 DRAM 看起來特別好,您是否更肯定今年內存市場將增加 1 億歐元的收入?

  • And I have a short follow-up.

    我有一個簡短的跟進。

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Yes.

    是的。

  • I think...

    我認為...

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • I think Wolfgang can answer this...

    我認為沃爾夫岡可以回答這個問題......

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • I'll do the revenue piece and -- I mean if you look at what we said last quarter and what we said this quarter, I mean on the -- if I go excluded EUV first, we had a very strong year on logic.

    我會做收入部分 - 我的意思是,如果你看看我們上個季度和本季度所說的話,我的意思是 - 如果我首先排除 EUV,我們在邏輯上度過了非常強勁的一年。

  • And we continue to believe that that's going to be flattish year-over-year.

    我們仍然相信這將與去年同期持平。

  • It's good news.

    這是個好消息。

  • We have a memory business.

    我們有內存業務。

  • I think we both used the words significantly up for us as what we previously believed.

    我認為我們倆都像以前所相信的那樣為我們使用了這些詞。

  • Last quarter, we said -- right now, I think it's flat, but it could be up.

    上個季度,我們說——現在,我認為它持平,但可能會上升。

  • And now we think it's significantly up.

    現在我們認為它顯著上升。

  • I think that's more than EUR 100 million definitely.

    我認為這肯定超過 1 億歐元。

  • And then we have our EUV business, which was only EUR 350 million or so, which we -- like we said before think it's going to be between EUR 1 billion and EUR 1.2 billion.

    然後我們的 EUV 業務只有 3.5 億歐元左右,就像我們之前所說的那樣,我們認為它將在 10 億歐元到 12 億歐元之間。

  • And then don't forget field options and services.

    然後不要忘記字段選項和服務。

  • Even with the adjustment that we made, we made EUR 2.1 billion last year.

    即使我們做出了調整,我們去年也賺了 21 億歐元。

  • And if you add up the 2 quarters, the actual and the guidance, we're almost at EUR 1.4 billion.

    如果將兩個季度、實際值和指導值加起來,我們幾乎達到 14 億歐元。

  • So we're at a significantly higher run rate there.

    所以我們在那裡的運行率要高得多。

  • So I -- without giving a quantitative guidance, I'd say the EUR 7.9 billion is pretty much, very much on the conservative side.

    所以我 - 在沒有給出定量指導的情況下,我會說 79 億歐元非常非常保守。

  • I'll give you one other way to look at that maybe helpful for you, Sandeep.

    我會給你另一種方式來看待這可能對你有幫助,Sandeep。

  • If you look at the first half, the actual and the guidance and you deduct that we only have EUR 200 million in EUV revenue in there.

    如果您查看上半年的實際情況和指導意見,並扣除我們在那裡只有 2 億歐元的 EUV 收入。

  • So you know in the second half, the bulk of the EUV revenue will come in, and we have not indicated that our non-EUV business is going down.

    所以你知道在下半年,大部分 EUV 收入將進入,我們並沒有表示我們的非 EUV 業務正在下降。

  • So if you do these 2 exercises, I think you'll get to a pretty good range that is quite a bit higher than EUR 7.9 billion.

    所以如果你做這兩個練習,我認為你會得到一個相當不錯的範圍,比 79 億歐元高很多。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • Peter, just one quick follow-up on EUV.

    彼得,只是對 EUV 的快速跟進。

  • I mean market has been focused on the top 3 customers.

    我的意思是市場一直專注於前 3 名客戶。

  • What about the next tier of customers in EUV?

    那麼 EUV 的下一層客戶呢?

  • Are you engaged with them?

    你和他們訂婚了嗎?

  • And when would they start to placing significant orders?

    他們什麼時候開始下大訂單?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes, we think we have orders in our backlog of more than the top 3. We have an additional 2, and so that makes it 5. And we are in discussion with 2 others, very close.

    是的,我們認為我們的積壓訂單超過了前 3 個。我們還有 2 個,所以它是 5 個。我們正在與另外 2 個討論,非常接近。

  • So I think that is now spreading, which is clear, of course.

    所以我認為現在這種情況正在蔓延,這當然很清楚。

  • That's -- the top 3 is now leading the pack in terms of speed of EUV introduction, but the others are clearly following.

    那就是——就 EUV 的引入速度而言,前 3 名現在處於領先地位,但其他人顯然緊隨其後。

  • Operator

    Operator

  • The next question comes from Mr. Pierre Ferragu.

    下一個問題來自 Pierre Ferragu 先生。

  • Pierre C. Ferragu - Senior Analyst

    Pierre C. Ferragu - Senior Analyst

  • It's Pierre Ferragu from Bernstein.

    是來自伯恩斯坦的皮埃爾·費拉古。

  • So if I kind of think about what I heard on the call is very positive developments about EUV, you have already 20 to 21 orders in the backlog.

    因此,如果我想一下我在電話會議上聽到的關於 EUV 的非常積極的發展,你已經有 20 到 21 個訂單在積壓中。

  • You'll have more in the next couple of quarters.

    在接下來的幾個季度中,您將擁有更多。

  • So next year, like 20, 22 is also probably what we are going to see.

    所以明年,像 20、22 也可能是我們將要看到的。

  • And at the same time, I heard also that the DUV business is likely to do well in 2018 as well because, mostly because of China generating like a new area of demand in DUV.

    同時,我還聽說 DUV 業務在 2018 年也可能表現良好,因為這主要是因為中國對 DUV 產生了一個新的需求領域。

  • And when I look at consensus expectations, basically if I assume there is about like $2 billion of EUV revenues -- sorry, EUR 2 billion of EUV revenues with about 20 tools, then that implies the DUV business would be down about $1 billion in 2018.

    當我查看共識預期時,基本上如果我假設 EUV 收入約為 20 億美元——抱歉,20 億歐元的 EUV 收入和大約 20 種工具,那麼這意味著 DUV 業務將在 2018 年下降約 10 億美元.

  • Do you think that kind of pullback makes sense and would reflect maybe like a sustaining of the rollout of the 10-nanometer node?

    您認為這種回調是否有意義,並且可能會反映出 10 納米節點推出的持續性?

  • Or do you think actually to 28 and could be another very strong year in DUV?

    或者你認為實際上到 28 歲並且可能是 DUV 又一個非常強勁的一年?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Good question.

    好問題。

  • Although what you're asking me is to just give you some reasonable financial feedback on what we think 2018 is going to look like, which I'm not going to do.

    儘管您要我只是就我們認為 2018 年的樣子給您一些合理的財務反饋,但我不會這樣做。

  • But qualitatively, I don't see anything at this moment in time that will bring the DUV business significantly down.

    但從質量上看,我目前看不到任何會使 DUV 業務顯著下降的事情。

  • There is continued strength in the memory business when I look at the strength of the logic business.

    當我查看邏輯業務的實力時,內存業務仍有持續的實力。

  • And leading-edge logic needs a performance memory, so it needs DRAM, and that's clear.

    前沿邏輯需要高性能內存,所以它需要 DRAM,這很清楚。

  • I don't see major new DRAM fabs coming online within the next 12 to 18 months.

    我認為未來 12 到 18 個月內不會有主要的新 DRAM 工廠上線。

  • That means that all available spots in -- or let's say, slots in factories that can take leading-edge DUV will take leading-edge DUV given the fact that I don't see that bit demand, end demand will go down significantly.

    這意味著考慮到我看不到位需求這一事實,最終需求將顯著下降,這意味著可以採用領先 DUV 的工廠中的所有可用位置,或者說,可以採用領先 DUV 的工廠的插槽。

  • So -- and if memory will stay strong, you mentioned China.

    所以 - 如果記憶力會保持強勁,你提到了中國。

  • I think China longer term -- and I think I said it on the last call, medium to longer term, that will be a, is a big opportunity for the entire industry.

    我認為中國是長期的——我想我在上次電話會議上說過,從中長期來看,這對整個行業來說是一個巨大的機會。

  • However in 2018, what we're seeing in terms of memory projects coming online, it will be focused on, let's say, finishing the construction and then putting the first pilot lines in, which will not drive a big, let's say, addition to installed memory capacity.

    但是在 2018 年,我們看到的內存項目上線,它的重點是,比如說,完成建設,然後投入第一條中試線,這不會推動很大,比如說,除了安裝內存容量。

  • It will take time.

    需要花時間。

  • I think all those memory projects are all new.

    我認為所有這些記憶項目都是新的。

  • You could call it greenfield, not only a greenfield fab, there are greenfield companies, is going to take a bit of time.

    你可以稱之為綠地,不僅是綠地晶圓廠,還有綠地公司,這需要一些時間。

  • Now, the positive thing is that, of course, all those choices for technology and for wafer fab equipment will be made in the next 12 months' time frame.

    現在,積極的事情是,當然,所有這些技術和晶圓廠設備的選擇都將在未來 12 個月的時間框架內做出。

  • So it's going to be strategically very important to be in China and to actually have -- and to sign up those Chinese customers.

    因此,進入中國並實際擁有——並與這些中國客戶簽約在戰略上非常重要。

  • But the rollout of that capacity will not be in 2018.

    但該產能不會在 2018 年推出。

  • 2018 will be pilot production.

    2018年將試生產。

  • And you see an acceleration of that roll out in 2019 and 2020.

    您會看到 2019 年和 2020 年的推出加速。

  • So -- but all in all, we do not foresee a DUV market in 2018.

    所以——但總而言之,我們預計 2018 年不會出現 DUV 市場。

  • I think what we're currently seeing in the end market simply does not support such a negative view.

    我認為我們目前在終端市場上看到的情況根本不支持這種負面觀點。

  • Pierre C. Ferragu - Senior Analyst

    Pierre C. Ferragu - Senior Analyst

  • And then a very quick follow-up on China.

    然後是對中國的快速跟進。

  • How would you qualify demand you anticipate there?

    您如何確定您在那裡預期的需求?

  • So it's a [ 19 20 ] story, okay.

    所以這是一個 [19 20] 的故事,好吧。

  • Is that mostly leading-edge tools?

    那主要是前沿工具嗎?

  • So are we going to look at high-end immersion tools mostly?

    那麼我們主要看高端沉浸式工具嗎?

  • Or is it going to be more leading edge in terms of demand in China?

    還是在中國的需求方面會更領先?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Well, I think you will see some of it -- probably both.

    好吧,我想你會看到其中的一些——可能兩者兼而有之。

  • But I think the emphasis will be on the leading edge, will be on leading-edge logic and leading-edge memory.

    但我認為重點將放在前沿,將放在前沿邏輯和前沿存儲器上。

  • But that like China currently has a lot of installed capacity, which is currently 45- and 28-nanometer.

    但像中國目前有很多裝機容量,目前是 45 和 28 納米。

  • And also 28-nanometer fabs will still take tools in 2018.

    而且 28 納米晶圓廠在 2018 年仍將採用工具。

  • So it will be a -- it's a bit of both.

    所以這將是 - 兩者兼而有之。

  • But I would say the emphasis will be on the leading edge.

    但我會說重點將放在前沿。

  • Operator

    Operator

  • The next question, Mr. Gareth Jenkins.

    下一個問題,Gareth Jenkins 先生。

  • Gareth Jenkins - MD and Equity Analyst

    Gareth Jenkins - MD and Equity Analyst

  • One question and a couple of follow-ups.

    一個問題和幾個跟進。

  • Gareth Jenkins, UBS.

    加雷斯詹金斯,瑞銀。

  • The question I had is around the light source.

    我的問題是關於光源的。

  • I just wondered whether any of your customers are asking for Gigaphoton light source rather than your own Cymer solution on EUV.

    我只是想知道是否有任何客戶要求 Gigaphoton 光源而不是您自己的 EUV 上的 Cymer 解決方案。

  • And just a couple of follow-ups, if I could, Peter, on other issues.

    彼得,如果可以的話,就其他問題進行一些後續行動。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Well, if they will be interested in using EUV way into the next decade, they will be asking for a Gigaphoton, but most of them aren't, so they want it now or they want it soon.

    好吧,如果他們對在下一個十年使用 EUV 方式感興趣,他們會要求 Gigaphoton,但他們中的大多數人都沒有,所以他們現在想要它或者他們很快想要它。

  • It's the only available EUV source, and Gigaphoton is potentially something for the future, but I would say, way into the next decade.

    它是唯一可用的 EUV 光源,而 Gigaphoton 可能是未來的東西,但我想說的是,進入下一個十年。

  • Gareth Jenkins - MD and Equity Analyst

    Gareth Jenkins - MD and Equity Analyst

  • Okay.

    好的。

  • And Wolfgang, I just wanted to clarify, EUR 200 million of EUV revenue in Q2, EUR 800 million to EUR 1 billion in H2.

    Wolfgang,我只是想澄清一下,第二季度 EUV 收入為 2 億歐元,下半年為 8 億歐元至 10 億歐元。

  • The EUR 800 million to EUR 1 billion, that will come at 0 gross margin?

    8 億歐元到 10 億歐元的毛利率會是 0 嗎?

  • Is that the expectation or allowing for some catch up, it will come at 0 gross margin?

    這是預期還是允許一些追趕,毛利率為 0?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Yes, for modeling purposes, I would not distinguish between the first half and the second half, it's making it too complicated.

    是的,出於建模目的,我不會區分前半部分和後半部分,這太複雜了。

  • Operator

    Operator

  • The next question is coming from Mr. Mehdi Hosseini.

    下一個問題來自 Mehdi Hosseini 先生。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Mehdi Hosseini, Susquehanna International.

    Mehdi Hosseini,Susquehanna International。

  • Peter, can you please give us an update on HMI.

    彼得,你能給我們介紹一下 HMI 的最新情況嗎?

  • When would you expect the dual beam product to be available for evaluation?

    您預計雙光束產品何時可用於評估?

  • And I have a follow-up.

    我有一個後續行動。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Well, we'll see the first integrated product, that's a combination of the ASML product and competency with HMI shipped to the first pilot customers by the end of the year, the end of this year, beginning of next year.

    好吧,我們將看到第一個集成產品,它結合了 ASML 產品和 HMI 能力,在今年年底、今年年底、明年年初交付給第一批試點客戶。

  • And (inaudible) dual beam is probably you'll refer to multi-beam.

    並且(聽不清)雙光束可能是指多光束。

  • Well, our plan is to have that available relatively soon, but we first need to finish the development.

    好吧,我們的計劃是相對較快地提供它,但我們首先需要完成開發。

  • It won't be this year.

    今年不會了。

  • It will be 2018 at the earliest.

    最早是2018年。

  • But more important is I think that we have the whole concept of using the ASML Holistic Lithography capability with the inspection capability of HMI and combine it into one product.

    但更重要的是,我認為我們擁有將 ASML Holistic Lithography 能力與 HMI 的檢測能力結合使用並將其組合成一個產品的整體概念。

  • That will be available much sooner.

    這將很快可用。

  • I think that's the most important part, and then multi-beam is just a natural extension of this product.

    我認為這是最重要的部分,然後多光束只是這個產品的自然延伸。

  • And like I said, this combined product the first shipment is to be started at the end of the year to the first pilot customers.

    就像我說的,這種組合產品將在年底開始向第一批試點客戶發貨。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Sure.

    當然。

  • And then I have a follow-up on the EUV 12 system shipment this year, maybe as high as 24 next year.

    然後我對今年的 EUV 12 系統出貨量進行了跟進,明年可能會高達 24 台。

  • Would that be fair to assume that the systems are going to be for 7-nanometer maybe insertion for a few layers?

    假設系統將用於 7 納米或插入幾層,這是否公平?

  • And then shipment in 2019 and on will include maybe 1 or 2 layer for DRAM, and then as you look into 5-nanometer logic, then the number of critical layers that would use EUV would go higher, and that's how we [ grow ] the doubling playing out?

    然後 2019 年及以後的出貨量可能包括 1 或 2 層用於 DRAM,然後當你研究 5 納米邏輯時,使用 EUV 的關鍵層的數量會增加,這就是我們 [增長] 的方式雙打?

  • So 12 system this year, 24 next year, the 7-nanometer introduction and then as we go into '19 and '20, the doubling is driven by DRAM and higher critical layer as you migrate to 5-nanometer logic.

    因此,今年 12 個系統,明年 24 個系統,引入 7 納米,然後隨著我們進入 19 和 20 年,當您遷移到 5 納米邏輯時,DRAM 和更高的關鍵層推動了翻倍。

  • Is that fair?

    這公平嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • So I think it's a little bit more complex than that, because 7-nanometer is not ending in 2018.

    所以我認為它比這更複雜一些,因為 7 納米不會在 2018 年結束。

  • I think as you will see the production ramp for our customers in late 2018, '19, and it will continue into 2020.

    我認為您將在 2018 年末 19 年看到我們客戶的生產量增加,並將持續到 2020 年。

  • But you will be seeing layers on top -- these node layers will be on top of each other, like we discussed earlier on these calls.

    但是你會看到層在上面——這些節點層將在彼此之上,就像我們之前在這些調用中討論的那樣。

  • What we're currently seeing is that you all see an acceleration of a capacity ramp for a new node by the leading customers, which is almost like a camelback.

    我們目前看到的是,你們都看到領先客戶加速新節點的容量增長,這幾乎就像駱駝一樣。

  • It's like a hump, and now you have a very long tail.

    它就像一個駝峰,現在你有一條很長的尾巴。

  • Now that long tail in 7-nanometer will be extending into 2020 and 2021, but it is not by the 1 or 2 leaders in logic, but there are also followers that will move into that 7-nanometer node, and they will start using the EUV in that time frame.

    現在 7 納米的長尾將延伸到 2020 年和 2021 年,但不是由邏輯上的 1 或 2 個領導者,但也有跟隨者將進入那個 7 納米節點,他們將開始使用EUV 在那個時間範圍內。

  • So you will see layer upon layer.

    所以你會看到一層一層的。

  • So this is not nice clean-cut end of 7-nanometer node followed by a 5 nanometer.

    所以這不是一個很好的 7 納米節點的干淨末端,然後是 5 納米。

  • It's going to be layered.

    它將被分層。

  • On memory, you said 2019, DRAM 1 or 2 layers.

    關於內存,你說 2019 年,DRAM 1 或 2 層。

  • Yes, in production, but I think we'll see probably earlier adoption of 1 or 2 layers in DRAM than 2019.

    是的,在生產中,但我認為我們可能會看到在 2019 年之前在 DRAM 中採用 1 或 2 層。

  • And this will also be some of the systems that you referred to, the 12 systems 2017 and potentially 20 systems in 2018 will be used for DRAM 1 or 2 layer production on this mid-teen node.

    這也將是您提到的一些系統,2017 年的 12 個系統和 2018 年可能的 20 個系統將用於在這個中端節點上生產 DRAM 1 或 2 層。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Is that why they haven't finalized the option part of the package?

    這就是他們沒有最終確定套餐選項部分的原因嗎?

  • What they have booked so far is just for the equipment and options are finalized later?

    他們到目前為止所預訂的只是為了設備和選項是後來最終確定的?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Well, this is specifically to one customer where we have the tool price is indeed fixed, and then the options is really the commercial negotiations on what do you want in terms of options which is specific to their production process and to the -- you could say the design of the customer specifically.

    好吧,這專門針對我們的一個客戶,我們的工具價格確實是固定的,然後選項實際上是關於您想要什麼選項的商業談判,這些選項特定於他們的生產過程和--你可以具體說客戶的設計。

  • And those are options that are add-ons that they need in their production process, which of course for us is good business and for the customer always an area where they think they can have some purchasing advantages.

    這些是他們在生產過程中需要的附加選項,這對我們來說當然是一筆好生意,而對於客戶來說,這始終是他們認為可以擁有一些購買優勢的領域。

  • So that's just a matter of time, but I don't think you can draw any conclusions other than that.

    所以這只是時間問題,但我認為你無法得出除此之外的任何結論。

  • Operator

    Operator

  • The next question, Mr. Andrew Gardiner.

    下一個問題,Andrew Gardiner 先生。

  • Andrew Michael Gardiner - Director

    Andrew Michael Gardiner - Director

  • It's Andrew Gardiner from Barclays.

    是來自巴克萊的安德魯·加德納。

  • Peter, I was just interested in following up a bit more on some of those comments you were making on the EUV decision-making process.

    彼得,我只是想進一步了解您對 EUV 決策過程的一些評論。

  • You highlighted during your prepared comments, the public comments from all 3 of your lead customers acknowledging the progress that's been made on EUV not only by yourselves but also across the ecosystem that was fairly well publicized at SPIE.

    您在準備好的評論中強調了所有 3 位主要客戶的公開評論,他們承認 EUV 不僅是你們自己而且是整個生態系統所取得的進展,這在 SPIE 上得到了很好的宣傳。

  • Yet, we are still not seeing all 3 step up sort of equally in terms of orders.

    然而,就訂單而言,我們仍然沒有看到所有 3 個步驟都相同。

  • I think TSMC has been pretty clear and consistent with what they are intending to do over the last couple of quarters.

    我認為台積電在過去幾個季度中已經非常清楚並符合他們打算做的事情。

  • We can see some of that in your backlog.

    我們可以在您的待辦事項中看到其中的一些內容。

  • Samsung also seem to have been clear in their statements, yet as you just highlighted, we don't yet have the volume purchase agreement or indeed the sort of volume orders that you'd highlighted or that you had perhaps expected in the first quarter.

    三星在他們的聲明中似乎也很清楚,但正如你剛剛強調的那樣,我們還沒有批量購買協議,或者確實沒有你強調的那種批量訂單,或者你可能在第一季度預期的那種批量訂單。

  • And then, finally, Intel, they were the first to sign a VPA 2 years ago now, and yet they still haven't really acted on it.

    然後,最後,英特爾,他們是 2 年前第一個簽署 VPA 的人,但他們仍然沒有真正採取行動。

  • And they had publicly said they would do so when the technology was ready.

    他們曾公開表示,當技術準備就緒時,他們會這樣做。

  • And again, publicly, they seem to admit that it is, yet they haven't stepped up in terms of their order rates.

    再一次,在公開場合,他們似乎承認確實如此,但他們的訂單率並沒有提高。

  • And given what you described in terms of their 2019 ramps, I'm just wondering sort of why you think we are seeing such sort of different positioning from these lead customers and with a closing order window later this year, where's your confidence level that indeed the 2 who are lagging are going to step up over the next, say, 2 quarters?

    鑑於您在 2019 年的坡道中所描述的內容,我只是想知道為什麼您認為我們看到這些主要客戶的定位如此不同,並且在今年晚些時候關閉訂單窗口,您的信心水平確實在哪裡?落後的 2 個人會在接下來的 2 個季度中挺身而出嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Well, to be honest, I don't think customers are really lagging, one.

    好吧,老實說,我不認為客戶真的落後了。

  • But they have different areas of focus, and they have different road maps and timing of road maps, which they would like to execute and also the size at which they want to do it is also different.

    但是他們有不同的關注領域,他們有不同的路線圖和路線圖的時間安排,他們想要執行的以及他們想要執行的規模也不同。

  • So this is not a homogeneous pack.

    所以這不是一個同質包。

  • This is heterogeneous, 3 different customers, 3 different road maps, 3 different ways in which they want to execute this.

    這是異構的,3 種不同的客戶,3 種不同的路線圖,3 種不同的執行方式。

  • But I think you are absolutely correct when you refer to the public statements, which are very convincing.

    但我認為,當您提到公開聲明時,您是絕對正確的,這些聲明非常有說服力。

  • But it is only the public statements.

    但這只是公開聲明。

  • As you can imagine, we are in a very close contact with them, and we're also seeing what they're doing in preparation of that EUV ramp.

    正如你所想像的,我們與他們保持著非常密切的聯繫,我們也看到了他們為準備 EUV 坡道所做的工作。

  • And that's real tangible.

    這是真正有形的。

  • I mean, those are factories.

    我的意思是,那些是工廠。

  • Those are EUV pedestals.

    這些是 EUV 基座。

  • Those are -- that's EUV infrastructure.

    這些是 - 那是 EUV 基礎設施。

  • It's being built and being put into place into those fabs.

    它正在建造並投入到這些晶圓廠中。

  • So that is also tangible, which is not that visible, but to us, it is.

    所以這也是有形的,不是那麼可見,但對我們來說,它是可見的。

  • On the negotiation process, that's also different per customer.

    在談判過程中,每個客戶的情況也不同。

  • For instance, one of those customers doesn't have a volume purchase agreement yet, but they have orders in our backlog.

    例如,其中一位客戶還沒有批量採購協議,但他們在我們的積壓訂單中有訂單。

  • So they order tools without the VPA.

    所以他們訂購了沒有 VPA 的工具。

  • But the volume purchase agreement is really to determine, in their best interest, what the pricing is on a certain volume.

    但是批量購買協議實際上是為了確定他們的最佳利益,確定一定數量的定價。

  • Now that is a commercial negotiation, which -- as Mehdi asked in the previous question, is also a matter of how many options do you want and what do you want to pay for those options.

    現在這是一個商業談判,正如 Mehdi 在上一個問題中所問的那樣,這也是你想要多少選擇以及你想為這些選擇支付什麼費用的問題。

  • So these things are commercial negotiations, which are not fully detached from the planning of what they want to do, but it is a different process.

    所以這些事情都是商業談判,並不是完全脫離他們想要做什麼的規劃,而是一個不同的過程。

  • So you have the planning process for the production, which we're pretty close to, which actually drives our own planning, our own production planning and you have the commercial process.

    所以你有生產計劃流程,我們非常接近,它實際上推動了我們自己的計劃,我們自己的生產計劃,你有商業流程。

  • And that commercial process ends when it ends, and that's where we are.

    這個商業過程在它結束時就結束了,這就是我們所處的位置。

  • So I think in summary, 3 different customers, not homogeneous in the way they look at their road maps, the timing of their road maps, not homogeneous in the way that they negotiate and not homogeneous also in the speed at which they want to do something and the speed of their ramp.

    所以我認為總而言之,3 個不同的客戶,他們看待路線圖的方式、路線圖的時間安排不同,他們談判的方式也不同,他們想要做的速度也不同一些東西和他們坡道的速度。

  • So this is what we have to take into consideration, but -- again, it's -- I'll try to put some color on what we said before, and what we said before is that we see this ramp of EUV very clearly coming on the 7-nanometer, which means 12 units this year, which are now, say, around 20 units next year, and we could see a further doubling in the years thereafter when we look at the customer road maps and their execution planning.

    所以這是我們必須考慮的,但是 - 再次,這是 - 我會嘗試為我們之前所說的內容加上一些顏色,我們之前所說的是我們非常清楚地看到了 EUV 的這個斜坡7 納米,這意味著今年有 12 個單位,例如,明年大約有 20 個單位,當我們查看客戶路線圖及其執行計劃時,我們可以看到在之後的幾年裡進一步翻一番。

  • And I think that is the most important to mention right now.

    我認為這是現在最重要的。

  • Andrew Michael Gardiner - Director

    Andrew Michael Gardiner - Director

  • Okay.

    好的。

  • That's very helpful.

    這很有幫助。

  • Also, just a quick follow-up for you, Wolfgang.

    此外,Wolfgang 只是為您提供一個快速跟進。

  • The guidance that you, or sort of the 1Q installed base revenue and the guidance you just gave for 2Q, clearly, very rapid growth there.

    你的指導,或者說第一季度的安裝基礎收入和你剛剛給出的第二季度的指導,顯然,那裡的增長非常迅速。

  • Are you still saying that, that sort of revised installed base revenue of about EUR 2.1 billion last year, is that still a 8% to 10% revenue growth target for '17?

    你還在說,去年修訂後的安裝基礎收入約為 21 億歐元,這仍然是 17 年 8% 到 10% 的收入增長目標嗎?

  • It's seems you are tracking significantly ahead of that at the moment, given the one half guidance as you've already mentioned.

    鑑於您已經提到的一半指導,您目前似乎在跟踪這一點。

  • What kind of growth should we be thinking there?

    我們應該在那裡思考什麼樣的增長?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • Yes.

    是的。

  • It certainly looks like -- we said in average we think this business is going to grow 10% over the next couple of years.

    它看起來確實像 - 我們平均說我們認為這項業務將在未來幾年內增長 10%。

  • But as customers are optimizing their installed base, they are using some of these performance enhancing options, very capital efficient for them.

    但隨著客戶正在優化他們的安裝基礎,他們正在使用其中一些性能增強選項,這對他們來說非常有效。

  • And you're right, I mean, 728 plus 650, I don't see this deteriorating much in the second half.

    你是對的,我的意思是,728 加 650,我認為這在下半年不會惡化太多。

  • You can do the math.

    你可以算算。

  • It will very likely be quite a bit above 10% this year.

    今年很可能會超過10%。

  • Operator

    Operator

  • The next question, Mr. Timothy Arcuri.

    下一個問題,蒂莫西·阿庫裡先生。

  • Timothy Michael Arcuri - MD and Senior Analyst

    Timothy Michael Arcuri - MD and Senior Analyst

  • Cowen.

    考恩。

  • I guess I had 2. First of all, Wolfgang, I wanted to ask about the 2018 EUV comments.

    我想我有 2 個。首先,沃爾夫岡,我想問一下 2018 年 EUV 的評論。

  • I know you still have 24 slots, that has not changed.

    我知道你仍然有 24 個插槽,這沒有改變。

  • And I think last call, you said that you'd be totally full for those slots and even have some backlog by the end of the year for 2019 shipments.

    我想上次電話,你說你會完全填滿這些插槽,甚至在今年年底之前有一些 2019 年出貨量的積壓。

  • So I guess, I'm wondering why you'd only ship 20 systems next year versus 24.

    所以我想,我想知道為什麼你明年只發布 20 個系統而不是 24 個。

  • It would seem like you have to ship -- you are like totally full on those slots.

    看起來你必鬚髮貨——你就像在那些插槽上完全滿了。

  • Why wouldn't you ship all those slots versus only 20.

    為什麼不運送所有這些插槽而不是僅 20 個。

  • I'm just getting some questions from investors that it seems like a downtick, and I just wanted you to address that.

    我只是從投資者那裡得到一些問題,這似乎是一個下跌,我只是想讓你解決這個問題。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • I think when you look at the 20 -- you have to remember that we also booked 4 upgrade orders.

    我認為當您查看 20 個時——您必須記住我們還預訂了 4 個升級訂單。

  • So there are customers that are taking those tools, those 3350s and 3300s out of the R&D.

    所以有些客戶正在將這些工具,那些 3350 和 3300 帶出研發。

  • They want them upgraded and put them into production.

    他們希望它們升級並投入生產。

  • So that adds another 4. So we always said when we look at our production capacity and we look at the road map and the timing of the road maps that our customers are currently talking about in terms of their road maps ramps and look at that time, we said we will have to use that 24 capacity.

    所以這又增加了 4 個。所以我們總是說,當我們查看我們的生產能力時,我們會查看路線圖和路線圖的時間安排,我們的客戶目前正在談論他們的路線圖坡道並查看當時的時間,我們說我們將不得不使用那 24 個容量。

  • Well, 4 of them at least are now being upgraded.

    好吧,其中至少有 4 個現在正在升級。

  • So that's basically taking out of R&D and then put into production, which is probably efficient use of the capital.

    所以這基本上是把研發拿出來,然後投入生產,這可能是對資金的有效利用。

  • It also means that we need to allocate some of our production people to upgrade in the field, not in our factory, but in the field.

    這也意味著我們需要分配一些生產人員到現場升級,而不是在我們的工廠,而是在現場。

  • Which also has an impact on our own capability.

    這對我們自己的能力也有影響。

  • Because you actually have people in the field, competent people, production people, that are in the field doing these open-heart surgeries.

    因為您實際上有該領域的人,有能力的人,生產人員,在該領域進行這些開放式心臟手術。

  • So it is a mix now.

    所以它現在是一個混合體。

  • I want to say new systems and customers wanting those upgrades, because it is capital efficient.

    我想說的是新系統和需要這些升級的客戶,因為它具有資本效率。

  • Timothy Michael Arcuri - MD and Senior Analyst

    Timothy Michael Arcuri - MD and Senior Analyst

  • Got it, Peter.

    明白了,彼得。

  • That's helpful.

    這很有幫助。

  • Very, very helpful.

    非常非常有幫助。

  • I guess my follow-up was just on how to think about China timing.

    我想我的後續行動只是關於如何考慮中國的時機。

  • I know you said that you expect shipments to support pilot production, I think you said in 2018.

    我知道你說你希望出貨量支持試產,我想你在 2018 年說過。

  • Does that mean, for the indigenous China projects, does that mean that you'll ship tools in the second half of this year for pilot production for them next year?

    這是否意味著,對於中國本土項目,這是否意味著您將在今年下半年交付工具,以便明年為他們進行試生產?

  • Or does that mean that you won't ship tools to these fabs until next year?

    或者這是否意味著您要到明年才能將工具運送到這些晶圓廠?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • It's a bit of a mixed bag.

    這有點好壞參半。

  • I think the first tools will ship towards the end of this year and then will continue shipping in the first half of 2018.

    我認為第一批工具將在今年年底發貨,然後將在 2018 年上半年繼續發貨。

  • So before that's all installed and the production process is qualified, you won't see any output out of those pilots fabs, I would say, way into the second half of 2018.

    因此,在所有這些都安裝完畢並且生產過程合格之前,你不會看到這些試點工廠有任何產出,我想說,直到 2018 年下半年。

  • Operator

    Operator

  • The next question, Mr. Amit Harchandani.

    下一個問題,Amit Harchandani 先生。

  • Amit B. Harchandani - VP and Analyst

    Amit B. Harchandani - VP and Analyst

  • Amit Harchandani from Citigroup.

    花旗集團的 Amit Harchandani。

  • I just wanted to come back to the topic of EUV shipments, orders and lead times.

    我只是想回到 EUV 出貨量、訂單和交貨時間的話題。

  • And I was hoping if you could help me with some math here.

    我希望你能在這裡幫我做一些數學。

  • You've got 21 tools in your backlog at the end of the Q, first quarter.

    Q 結束時,第一季度,您的積壓工作中有 21 種工具。

  • My understanding is the lead times are including the supply chain about 18 months, and if you're looking at shipments cumulatively in 2017 for the rest of the year and what you said for 2018, it's about 30 tools -- 30, 32 tools to be shipped.

    我的理解是交貨時間包括大約 18 個月的供應鏈,如果您查看 2017 年剩餘時間的累計出貨量以及您所說的 2018 年,大約有 30 種工具——30、32 種工具被運送。

  • So does that mean you need around 9 to 10 orders coming through by the end of June?

    那麼這是否意味著您需要在 6 月底之前收到大約 9 到 10 個訂單?

  • Or is it okay the orders come in later and your lead times will get shorter allowing you to fulfill or meet the shipment target by the end of 2018?

    或者訂單來得更晚,您的交貨時間會變短,讓您能夠在 2018 年底之前完成或達到發貨目標,這樣可以嗎?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • First of all, I think you see independent of any VPAs you see -- you'll see a decent order flow in the next quarter, although the quarter we just started.

    首先,我認為你看到的任何 VPA 都獨立於你看到的任何 VPA——儘管我們剛剛開始的這個季度,下個季度你會看到一個不錯的訂單流。

  • Then it's not a hard and fast rule, right, where you have 18 months.

    那麼這不是一個硬性規定,對,你有 18 個月的時間。

  • And it's not just the order that's the communication between us and the customer, I mean we are sitting together with these customers on a weekly basis.

    我們和客戶之間的溝通不僅僅是訂單,我的意思是我們每週都與這些客戶坐在一起。

  • And just because we don't have a piece of paper that says VPA because some final detail on the term and condition is not done means that we don't have a very good view on what these customers need in which quarter in the next year.

    並且僅僅因為我們沒有一張寫著 VPA 的文件,因為關於條款和條件的一些最終細節還沒有完成,這意味著我們對這些客戶在明年哪個季度需要什麼沒有很好的了解.

  • And it comes down a little bit to trust as well, right, where you trust the forecast is eventually translating into an order.

    而且它也有點信任,對,你相信預測最終會轉化為訂單。

  • Of course, in the long run, you've got to have the discipline to have the orders coming in because we can certainly not be the inventory holder of the industry.

    當然,從長遠來看,你必須有紀律才能接到訂單,因為我們當然不能成為這個行業的庫存持有者。

  • But I think we are in pretty good shape.

    但我認為我們的狀態非常好。

  • You'll see orders in Q2 with pretty good forecast on it.

    您將在第二季度看到訂單,並對其進行很好的預測。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • And I think in addition to what Wolfgang said, Amit, I said in earlier calls, it is our focus to have the orders needed for shipment in 2018 in the backlog by the end of this year.

    而且我認為除了 Wolfgang 所說的,Amit,我在之前的電話會議中說過,我們的重點是在今年年底之前將 2018 年出貨所需的訂單積壓。

  • I mean, it is exactly what Wolfgang said, it is not a piece of paper that drives us to start ordering lenses and the long lead time items for the EUV source.

    我的意思是,這正是 Wolfgang 所說的,並不是一張紙驅使我們開始為 EUV 光源訂購鏡頭和較長交貨時間的產品。

  • It's really the weekly connection that we have with our customers and the almost weekly, or you can say, monthly updates that we're getting on that EUV planning.

    這實際上是我們與客戶每週一次的聯繫,以及幾乎每週一次的,或者你可以說,我們正在獲得 EUV 計劃的每月更新。

  • That is driving this.

    這就是推動這個。

  • So we would be looking at getting in 2017 all the orders that we need for 2018.

    因此,我們將考慮在 2017 年獲得 2018 年所需的所有訂單。

  • Amit B. Harchandani - VP and Analyst

    Amit B. Harchandani - VP and Analyst

  • And just maybe as a follow-up on the lead times, could you give us a sense of how we should think about the lead times contracting as we look out over the next 2 to 3 years?

    作為對交貨時間的跟進,您能否讓我們了解一下我們應該如何看待未來 2 到 3 年的交貨時間收縮?

  • And what are the key parameters that will help you get them down besides of course experiential learning?

    除了體驗式學習之外,還有哪些關鍵參數可以幫助你把它們放下來?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes, I think it is experiential learning.

    是的,我認為這是體驗式學習。

  • It is the learning curve.

    這是學習曲線。

  • And we will be looking at halving the lead time over the next 3 years, so I said till the end of the decade.

    我們將考慮在未來 3 年內將交貨時間減半,所以我說直到十年結束。

  • So by 2020, we should have half the lead time.

    所以到 2020 年,我們應該有一半的交貨時間。

  • Which is by the way, this is what we did with DUV.

    順便說一下,這就是我們對 DUV 所做的。

  • And with DUV -- as a matter of fact, we are reducing the factory cycle time in our factory and the overall lead time of a DUV system still.

    而使用 DUV——事實上,我們正在減少工廠的工廠週期時間以及 DUV 系統的整體交貨時間。

  • So it is a continuous process, but it is continuous learning.

    所以這是一個持續的過程,但它是持續的學習。

  • It's almost exponential learning.

    這幾乎是指數學習。

  • That's what it is.

    就是這樣。

  • That will drive the lead time down.

    這將縮短交貨時間。

  • Operator

    Operator

  • The next question is coming from Mr. Douglas Smith.

    下一個問題來自道格拉斯·史密斯先生。

  • Douglas Smith

    Douglas Smith

  • Agency Partners.

    代理合作夥伴。

  • The question I had actually is on high NA.

    我的問題實際上是關於高 NA。

  • I saw in one of the slides at SPIE, a diagram of what high NA looks like.

    我在 SPIE 的一張幻燈片中看到了高 NA 的示意圖。

  • It looks like a much larger machine than the current EUV system, then the specs are much higher and so on.

    它看起來比當前的 EUV 系統大得多,然後規格要高得多等等。

  • And have you already thought what the price of such a machine is?

    你有沒有想過這樣一台機器的價格是多少?

  • Are we looking at like a EUR 200 million kind of number for high NA?

    我們是否正在尋找一個 2 億歐元的高 NA 數字?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes, EUR 200 million plus.

    是的,超過 2 億歐元。

  • Douglas Smith

    Douglas Smith

  • Okay.

    好的。

  • And maybe a little bit in the same direction, do you have people who are willing to do double patterning for EUV?

    也許在同一個方向上,你有願意為 EUV 做雙圖案的人嗎?

  • Or is that something they want to avoid and would prefer to use high NA?

    或者這是他們想要避免並且更願意使用高數值孔徑的東西?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes, I think this is a very good question, I think this exactly goes down to the economics of high-end manufacturing.

    是的,我認為這是一個非常好的問題,我認為這完全可以歸結為高端製造業的經濟學。

  • It is all about the cost for a leading edge transistor.

    這完全與前沿晶體管的成本有關。

  • And now the question is, can you do that through multiple patterning or double patterning EUV, which would cut the productivity in half or would you do that with basically a shrink capability with higher productivity through a high NA tool.

    現在的問題是,您是否可以通過多重圖案化或雙重圖案化 EUV 來做到這一點,這會將生產力降低一半,或者您是否會通過高 NA 工具基本上具有收縮能力和更高的生產力來做到這一點。

  • And the economics that we have calculated on the high NA tool is clearly preferring a high NA solution instead of double patterning EUV when you go to the 3-nanometer node.

    當您使用 3 納米節點時,我們對高 NA 工具計算的經濟性顯然更喜歡高 NA 解決方案,而不是雙圖案 EUV。

  • So as far as we are concerned, looking at the specs, high NA is the preferred economical solution.

    因此,就我們而言,從規格來看,高數值孔徑是首選的經濟解決方案。

  • Douglas Smith

    Douglas Smith

  • All right.

    好的。

  • And so just to clarify my first question, more or less, EUV was 2x the price of DUV, so you expect high NA to be about 2x the price of today's EUV?

    因此,為了澄清我的第一個問題,EUV 或多或少是 DUV 價格的 2 倍,所以您預計高 NA 大約是當今 EUV 價格的 2 倍?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • That's a decent assumption.

    這是一個不錯的假設。

  • Operator

    Operator

  • The next question is coming from Mr. Adithya Metuku.

    下一個問題來自 Adithya Metuku 先生。

  • Adithya Satyanarayana Metuku - Associate

    Adithya Satyanarayana Metuku - Associate

  • It's Bank of America.

    是美國銀行。

  • I have a quick follow-up.

    我有一個快速跟進。

  • My questions have largely been answered.

    我的問題基本上得到了解答。

  • Wolfgang, could you give us some color on the total amount of deferred revenue that you have on the balance sheet related to EUV that you haven't still recognized in the P&L?

    Wolfgang,您能否告訴我們您在資產負債表上尚未在損益表中確認的與 EUV 相關的遞延收入總額?

  • Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

    Wolfgang U. Nickl - CFO, EVP and Member of the Management Board

  • I want to say it's -- the overall deferred revenue is about EUR 1.2 billion.

    我想說的是——總的遞延收入約為 12 億歐元。

  • I'd say EUV is probably somewhere in the EUR 200 million range or so.

    我想說 EUV 可能在 2 億歐元左右。

  • Operator

    Operator

  • The next question is coming from Mr. Robert Sanders.

    下一個問題來自羅伯特·桑德斯先生。

  • Robert Duncan Cobban Sanders - Director

    Robert Duncan Cobban Sanders - Director

  • Deutsche Bank.

    德意志銀行。

  • First question would just be on DRAM.

    第一個問題只是關於 DRAM。

  • As I understand it, Samsung is exploring DRAM insertion for EUV for performance DRAM only, and that they were deferring the decision of commodity DRAM.

    據我了解,三星正在探索將 DRAM 插入 EUV 僅用於高性能 DRAM,並且他們推遲了商品 DRAM 的決定。

  • Is that something you recognize?

    那是你認識的東西嗎?

  • And I'm just wondering is that what you are factoring into your forecast?

    我只是想知道你在預測中考慮了什麼?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • We don't know the exact application of what type of product it's going to be used on.

    我們不知道它將用於什麼類型的產品的確切應用。

  • The only thing that we know it's on this particular mid-teens node and whether that's used for commodity DRAM or for performance DRAM, I suppose it's going to be supported -- it's going to be performance DRAM.

    我們唯一知道它是在這個特殊的中端節點上,無論它是用於商品 DRAM 還是用於性能 DRAM,我想它都會得到支持——它將是性能 DRAM。

  • That's seems the most logical.

    這似乎是最合乎邏輯的。

  • But I cannot give you a definitive answer on this, because you really have to ask Samsung, and obviously that you did.

    但是我不能給你一個明確的答案,因為你真的要問三星,很明顯你問了。

  • So we have to believe what our customer say.

    因此,我們必須相信客戶所說的話。

  • Robert Duncan Cobban Sanders - Director

    Robert Duncan Cobban Sanders - Director

  • Got it.

    知道了。

  • I mean I'm only asking because performance DRAM is about 1/3 of capacity in DRAM.

    我的意思是我只是問,因為性能 DRAM 大約是 DRAM 容量的 1/3。

  • So the second question would just be on TSMC and other customers.

    所以第二個問題只針對台積電和其他客戶。

  • I mean I think TSMC is the only customer that's actually moved EUV tools into the manufacturing line.

    我的意思是,我認為台積電是唯一真正將 EUV 工具轉移到生產線的客戶。

  • I was just wondering when you thought Samsung and Intel would have to move tools into the actual line to meet insertion at 7-nanometer.

    我只是想知道您何時認為三星和英特爾必須將工具移入實際生產線才能滿足 7 納米的插入要求。

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Well, I think this is really dependent on how they plan the introduction and the ramp of their nodes.

    嗯,我認為這真的取決於他們如何計劃引入和節點的斜坡。

  • So it is really -- it is a question that we can't answer for the customers.

    所以它真的 - 這是一個我們無法為客戶回答的問題。

  • If you ask them and they give you a timing and one timing looks more aggressive than the other timing, then you can probably draw a conclusion on what they believe the need of their customers is.

    如果你問他們,他們給了你一個時間,一個時間看起來比另一個時間更激進,那麼你可能會得出他們認為客戶需求是什麼的結論。

  • And so it's not up to us to draw any conclusions there.

    因此,我們不能在那裡得出任何結論。

  • It's really driven by how they look at their own road map and their customer demands that will determine when they start to ramp up and put tools into production and start ramping capacity.

    這實際上是由他們如何看待自己的路線圖和客戶需求所驅動的,這將決定他們何時開始加速生產並將工具投入生產並開始提高產能。

  • Craig DeYoung - VP of IR - ASML Tempe

    Craig DeYoung - VP of IR - ASML Tempe

  • Ladies and gentlemen, we have time for one last question.

    女士們,先生們,我們有時間回答最後一個問題。

  • We're going to squeeze one more.

    我們要再擠一個。

  • If you are unable to get through on the call and still have questions, feel free to reach out to the IR Department.

    如果您無法接通電話並且仍有疑問,請隨時聯繫 IR 部門。

  • We'll be around for a while.

    我們會在附近待一會兒。

  • Operator

    Operator

  • The last question is coming from Mr. Francois Meunier.

    最後一個問題來自 Francois Meunier 先生。

  • Francois Auguste Roger Meunier - MD

    Francois Auguste Roger Meunier - MD

  • So I'm not going to ask why 20 or 21 or 22 next year, because if we roll back in time, probably no one would have believed you would have even shipped more than 10 next year.

    所以我不會問為什麼明年是 20 或 21 或 22,因為如果我們及時回滾,可能沒有人會相信你明年的出貨量甚至會超過 10 個。

  • No, the question really is about the gross margin.

    不,問題實際上是關於毛利率的。

  • 47.6%, with no EUV revenues this year.

    47.6%,今年沒有 EUV 收入。

  • If my calculations are correct, it's something like 500 bps, like higher than last year or something.

    如果我的計算是正確的,它大約是 500 bps,比如比去年高什麼的。

  • So can you help us to understand what the bridge is between last year and this year?

    那麼你能幫助我們了解去年和今年之間的橋樑嗎?

  • Is it really around the mix effect with more services revenues and more options and stuff like that?

    是否真的圍繞著混合效應與更多的服務收入和更多的選擇和類似的東西?

  • Or is it also because like -- even at the tool level your margins are going higher?

    還是因為——即使在工具級別,您的利潤也越來越高?

  • Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

    Peter T. F. M. Wennink - Chairman of the Management Board, CEO and President

  • Yes, I think, Francois, there's 2 elements.

    是的,我認為,弗朗索瓦,有兩個要素。

  • First of all, our revenue in the first quarter was 46% higher this Q1 when compared to last Q1.

    首先,與上一季度相比,我們第一季度的收入在第一季度增長了 46%。

  • So we had quite a bit of volume effect there.

    所以我們在那裡有相當多的音量效果。

  • Plus, of course, our mix is developing, right?

    另外,當然,我們的組合正在發展,對吧?

  • I mean, you see more Holistic Lithography as a percent of revenue, you see HMI with the full quarter in there.

    我的意思是,你會看到更多的整體光刻技術佔收入的百分比,你會看到 HMI 的整個季度都在裡面。

  • And you see indeed, as you mentioned, you see a lot of upgrade options that have very, very high margins.

    正如您所提到的,您確實看到了很多升級選項,它們的利潤率非常非常高。

  • So it's a combination of all of the above.

    所以它是上述所有的組合。

  • Craig DeYoung - VP of IR - ASML Tempe

    Craig DeYoung - VP of IR - ASML Tempe

  • Now on behalf of ASML's board and management, I'd like to thank you all for joining us today.

    現在,我代表 ASML 的董事會和管理層,感謝大家今天加入我們。

  • And operator, if you could formally conclude the call, I'd appreciate it.

    接線員,如果您能正式結束通話,我將不勝感激。

  • Thank you.

    謝謝你。

  • Operator

    Operator

  • Ladies and gentlemen, this concludes the ASML 2017 First Quarter Financial Results Conference Call.

    女士們先生們,ASML 2017 年第一季度財務業績電話會議到此結束。

  • You may -- thank you for participating.

    您可以-- 感謝您的參與。

  • You may now disconnect.

    您現在可以斷開連接。