艾司摩爾 (ASML) 2020 Q4 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Thank you for standing by.

    謝謝你的支持。

  • Welcome to the ASML 2020 Fourth Quarter and Full Year Financial Results Conference call on January 20, 2021.

    歡迎參加 2021 年 1 月 20 日舉行的 ASML 2020 第四季度和全年財務業績電話會議。

  • (Operator Instructions)

    (操作員說明)

  • I would now like to turn the conference call over to Mr. Skip Miller.

    我現在想將電話會議轉交給 Skip Miller 先生。

  • Please go ahead, sir.

    請繼續,先生。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, operator.

    謝謝你,接線員。

  • Welcome, everyone.

    歡迎大家。

  • This is Skip Miller, Vice President of Investor Relations at ASML.

    我是 ASML 投資者關係副總裁 Skip Miller。

  • Joining me today on the call is ASML's CEO, Peter Wennink; and our CFO, Roger Dassen.

    今天和我一起參加電話會議的是 ASML 的首席執行官 Peter Wennink;和我們的首席財務官 Roger Dassen。

  • The subject of today's call is ASML's 2020 fourth quarter and full year results.

    今天電話會議的主題是 ASML 2020 年第四季度和全年業績。

  • The length of this call will be 60 minutes, and questions will be taken in the order that they are received.

    此電話的長度為 60 分鐘,問題將按照收到的順序進行處理。

  • This call is also being broadcast live over the internet at asml.com.

    該電話也通過互聯網在 asml.com 上進行直播。

  • A transcript of management's opening remarks and a replay of the call will be available on our website shortly following the conclusion of this call.

    本次電話會議結束後不久,我們的網站上將提供管理層的開幕致辭和電話會議的重播。

  • Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities laws.

    在我們開始之前,我想提醒聽眾,管理層在本次電話會議期間發表的評論將包括聯邦證券法含義內的前瞻性陳述。

  • These forward-looking statements involve material risks and uncertainties.

    這些前瞻性陳述涉及重大風險和不確定性。

  • For a discussion of risk factors, I encourage you to review the safe harbor statement contained in today's press release and presentation found on our website at asml.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

    對於風險因素的討論,我鼓勵您查看今天的新聞稿和演示文稿中包含的安全港聲明,該聲明和演示可在我們的網站 asml.com 以及 ASML 的 20-F 表格年度報告和提交給證券和交易委員會。

  • With that, I'd like to turn the call over to Peter Wennink for a brief introduction.

    有了這個,我想把電話轉給 Peter Wennink 進行簡要介紹。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you, Skip.

    謝謝你,跳過。

  • Welcome, everyone, and thank you for joining us for our fourth quarter and full year 2020 results conference call.

    歡迎大家,並感謝您加入我們的 2020 年第四季度和全年業績電話會議。

  • And I do hope all of you and your families are healthy and safe.

    我真的希望你們所有人和你們的家人都健康安全。

  • But before we begin the Q&A session, Roger and I would like to provide an overview and some commentary on the fourth quarter and full year 2020 as well as provide our view on the coming quarters.

    但在我們開始問答環節之前,羅杰和我想對 2020 年第四季度和全年進行概述和一些評論,並提供我們對未來幾個季度的看法。

  • And Roger will start with a review of our fourth quarter and full year 2020 financial performance with added comments on our short-term outlook, and I will complete the introduction with some additional comments on the current business environment and on our future business outlook.

    羅傑將首先回顧我們第四季度和 2020 年全年的財務業績,並對我們的短期前景進行補充評論,我將在介紹結束時對當前的商業環境和我們未來的商業前景發表一些額外的評論。

  • Roger, if you will?

    羅傑,你願意嗎?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Thank you, Peter.

    謝謝你,彼得。

  • Welcome, everyone.

    歡迎大家。

  • I will first review the fourth quarter and full year financial accomplishments and then provide guidance on the first quarter of 2021.

    我將首先回顧第四季度和全年的財務業績,然後為 2021 年第一季度提供指導。

  • Net sales came in above guidance at EUR 4.3 billion, primarily due to additional DPV system revenue and upgrade business opportunities.

    淨銷售額為 43 億歐元,高於預期,主要是由於額外的 DPV 系統收入和升級業務機會。

  • We shipped 9 EUV systems and recognized EUR 1.1 billion revenue from 8 systems this quarter.

    本季度我們出貨了 9 個 EUV 系統,並確認了 8 個系統的 11 億歐元收入。

  • One system was shipped with a new configuration that needs to be qualified at customer site as the revenue will be recognized after site acceptance staffed in early 2021.

    一個系統配備了需要在客戶現場進行認證的新配置,因為收入將在 2021 年初現場驗收後確認。

  • Net system sales of EUR 3.2 billion was again more weighted towards Logic at 72%, with the remaining 28% from Memory.

    32 億歐元的系統淨銷售額再次以 72% 的比例更多地來自邏輯,其餘 28% 來自內存。

  • The strength in Logic drives both DPV and EUV revenue, and recovery in Memory business is mainly driven by DRAM.

    Logic 的強勢推動了 DPV 和 EUV 的收入,而 Memory 業務的複蘇主要由 DRAM 推動。

  • Installed Base Management sales for the quarter came in at EUR 1.1 billion above guidance, showing continued strength in our service and upgrade business.

    本季度安裝基礎管理的銷售額比預期高出 11 億歐元,表明我們的服務和升級業務持續強勁。

  • Gross margin for the quarter was 52% and was above guidance due to the additional DPV immersion and upgrade business.

    由於額外的 DPV 沉浸和升級業務,本季度的毛利率為 52%,高於預期。

  • On operating expenses, R&D expenses came in at EUR 556 million and SG&A expenses at EUR 152 million, which was slightly above our guidance.

    在運營費用方面,研發費用為 5.56 億歐元,SG&A 費用為 1.52 億歐元,略高於我們的預期。

  • Net income in Q4 was EUR 1.4 billion, representing 31.7% of net sales and resulting in an EPS of EUR 3.23.

    第四季度的淨收入為 14 億歐元,占淨銷售額的 31.7%,每股收益為 3.23 歐元。

  • Turning to the balance sheet.

    轉向資產負債表。

  • We ended the fourth quarter with cash, cash equivalents and short-term investments at a level of EUR 7.4 billion, which is significantly higher due to customer down payments and early payments, which materialize in 2020.

    第四季度末,我們的現金、現金等價物和短期投資達到 74 億歐元,由於 2020 年實現的客戶預付款和提前付款,這一數字顯著增加。

  • Moving to the order book.

    轉到訂單簿。

  • Q4 net system bookings came in at EUR 4.2 billion, including EUR 1.1 billion for EUV systems, net 6, and very strong DPV demand.

    第四季度淨系統預訂額為 42 億歐元,其中包括 EUV 系統的 11 億歐元、淨額 6 和非常強勁的 DPV 需求。

  • Order intake was largely driven by Logic with 78% of bookings.

    訂單量主要由 Logic 推動,訂單量佔 78%。

  • And Memory, the remaining 22%.

    和內存,剩下的 22%。

  • For the full year, net sales grew 18% to EUR 14 billion.

    全年淨銷售額增長 18% 至 140 億歐元。

  • EUV system sales in 2020 was EUR 4.5 billion, which is about a 60% increase from last year.

    2020 年 EUV 系統銷售額為 45 億歐元,比去年增長約 60%。

  • On EUV margins, we continue to drive profitability in both the systems as well as the service business.

    在 EUV 利潤率方面,我們繼續推動系統和服務業務的盈利能力。

  • We achieved our 40% system gross margin in 2020 and delivered a positive margin on EUV service.

    我們在 2020 年實現了 40% 的系統毛利率,並在 EUV 服務上實現了正利潤率。

  • We expect the upward trend for both systems and services gross margin to continue in future years.

    我們預計系統和服務毛利率的上升趨勢將在未來幾年繼續。

  • The Installed Base Management sales was EUR 3.7 billion, which is a 30% increase compared to previous year.

    Installed Base Management 銷售額為 37 億歐元,比上一年增長 30%。

  • In 2020, we had a total of EUR 11.3 billion, reflecting customers' strong demand for EUV and DPV technology.

    2020 年,我們的總銷售額為 113 億歐元,反映了客戶對 EUV 和 DPV 技術的強勁需求。

  • DPV booking value was at a record EUR 7.3 billion, with demand from both advanced as well as mature market technologies.

    DPV 預訂價值達到創紀錄的 73 億歐元,來自先進和成熟市場技術的需求。

  • Our R&D spending increased to EUR 2.2 billion in 2020.

    2020 年,我們的研發支出增至 22 億歐元。

  • While we continue to invest in DPV and applications, product innovation, the increase was primarily driven by the acceleration of our EUV road map, both low and High-NA.

    雖然我們繼續投資於 DPV 和應用、產品創新,但增長主要是由於我們的 EUV 路線圖的加速推動,包括低 NA 和高 NA。

  • Overall R&D investments as a percentage of 2020 sales was about 16%, SG&A was about 4% of sales.

    總體研發投資佔 2020 年銷售額的比例約為 16%,SG&A 約佔銷售額的 4%。

  • Net income for the full year was EUR 3.6 billion, resulting in 25.4% of net sales and an EPS of EUR 8.49.

    全年淨收入為 36 億歐元,占淨銷售額的 25.4%,每股收益為 8.49 歐元。

  • Improvements in working capital contributed to a free cash flow generation of EUR 3.6 billion as we continue to invest CapEx in support of our road map and planned capacity ramp.

    隨著我們繼續投資資本支出以支持我們的路線圖和計劃的產能提升,營運資本的改善促成了 36 億歐元的自由現金流產生。

  • Excess cash will be returned as per our policy.

    多餘的現金將根據我們的政策退還。

  • With that, I would like to turn to our expectations for the first quarter of 2021.

    有了這個,我想談談我們對 2021 年第一季度的預期。

  • We expect Q1 total net sales of between EUR 3.9 billion and EUR 4.1 billion, which is a very strong start of the year and a reflection of the current market demand.

    我們預計第一季度的總淨銷售額在 39 億歐元至 41 億歐元之間,這是今年非常強勁的開端,反映了當前的市場需求。

  • We expect our Q1 Installed Base Management sales to be around EUR 950 million.

    我們預計第一季度安裝基礎管理銷售額約為 9.5 億歐元。

  • Gross margin for Q1 is expected to be between 50% and 51%.

    第一季度的毛利率預計在 50% 至 51% 之間。

  • The expected R&D expenses for Q1 are EUR 620 million, and SG&A is expected to come in at EUR 165 million, reflecting a continued investment in the future growth of the company.

    第一季度的預計研發費用為 6.2 億歐元,SG&A 預計為 1.65 億歐元,反映了對公司未來增長的持續投資。

  • The higher R&D is to support road map plans to drive further innovation of our EUV, DPV and apps products.

    更高的研發是為了支持路線圖計劃,以推動我們的 EUV、DPV 和應用產品的進一步創新。

  • The SG&A increase is driven by higher IT, security costs and general organizational growth.

    SG&A 的增長是由更高的 IT、安全成本和總體組織增長推動的。

  • These quarterly run rates are a good indicator for the expected full year operating expenses.

    這些季度運行率是預期全年運營費用的一個很好的指標。

  • Our estimated 2021 annualized effective tax rate is expected to be between 14% and 15%.

    我們預計 2021 年的年化有效稅率預計在 14% 至 15% 之間。

  • Regarding our capital return, ASML paid total dividends of EUR 1.1 billion in 2020, made up of the 2019 final dividend and 2020 interim dividend.

    關於我們的資本回報,ASML 在 2020 年支付了 11 億歐元的總股息,包括 2019 年末期股息和 2020 年中期股息。

  • ASML intends to declare a total dividend with respect to 2020 of EUR 2.75 per ordinary share.

    ASML 打算宣布 2020 年每股普通股 2.75 歐元的總股息。

  • Recognizing the interim dividend of EUR 1.20 per ordinary share paid in November '20, this leads to a final dividend proposal to the general meeting of EUR 1.55 per ordinary share.

    鑑於 20 年 11 月支付的每股普通股 1.20 歐元的中期股息,這導致向股東大會提出每股普通股 1.55 歐元的末期股息提案。

  • This is a 15% increase compared to the 2019 dividend.

    與 2019 年的股息相比,這增加了 15%。

  • The 2021 Annual General Meeting of Shareholders will take place on April 29, 2021, in Veldhoven.

    2021 年年度股東大會將於 2021 年 4 月 29 日在 Veldhoven 舉行。

  • Through December 31, 2020, ASML acquired 3.9 million shares under the 2020-2022 program for a total amount of EUR 1.2 billion.

    截至 2020 年 12 月 31 日,ASML 根據 2020-2022 年計劃收購了 390 萬股,總金額為 12 億歐元。

  • Given our strong cash position and positive outlook, we expect to execute a significant share buyback in Q1 2021.

    鑑於我們強勁的現金狀況和積極的前景,我們預計將在 2021 年第一季度執行大量股票回購。

  • With that, I'd like to turn the call back over to Peter.

    有了這個,我想把電話轉回給彼得。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Thank you, Roger.

    謝謝你,羅傑。

  • As Roger has highlighted, we had a very strong quarter, resulting in another solid year of growth in both sales and profitability, driven by strong Logic, recovering Memory demand and a significant step-up in our Installed Base revenue.

    正如羅傑所強調的那樣,我們有一個非常強勁的季度,在強勁的邏輯、內存需求的恢復和我們的安裝基礎收入的顯著增長的推動下,銷售額和盈利能力又實現了穩健的增長。

  • We were able to achieve an 18% top line growth and 37% growth in profitability despite some unique challenges we're having to continue to run our business through the pandemic.

    儘管我們必須繼續在大流行中開展業務,但我們仍能實現 18% 的收入增長和 37% 的盈利能力增長。

  • I think this is all thanks to our employees and partners who have done a remarkable job executing in this challenging environment.

    我認為這一切都要感謝我們的員工和合作夥伴,他們在這個充滿挑戰的環境中表現出色。

  • However, we continue to remain vigilant as this COVID-19-induced crisis is not behind us yet.

    但是,我們將繼續保持警惕,因為這場由 COVID-19 引發的危機尚未過去。

  • Following a strong 2020, we currently expect another year of good growth in revenue and profitability in 2021.

    在經歷了強勁的 2020 年之後,我們目前預計 2021 年的收入和盈利能力將再有一年的良好增長。

  • In Logic, we expect another very healthy year driven by a further broadening of the application space, fueled by the global digital transition.

    在 Logic,我們預計在全球數字化轉型的推動下,應用空間的進一步擴大將推動另一個非常健康的一年。

  • Customers continue to see strong demand for advanced nodes, which includes the secular growth drivers, such as 5G, AI and HPC.

    客戶繼續看到對高級節點的強勁需求,其中包括 5G、AI 和 HPC 等長期增長驅動力。

  • And in addition, and also driven by the digital transformation, we are seeing a strengthening demand for the more mature nodes across a wide variety of markets such as consumer, automotive and industrial.

    此外,在數字化轉型的推動下,我們看到消費者、汽車和工業等各種市場對更成熟節點的需求不斷增強。

  • While we are still very early on in the year, we think that with these demand drivers on full throttle for advanced as well as mature nodes, we expect Logic revenue to be up at least 10% from an already very high number of EUR 7.4 billion in 2020.

    雖然我們今年還很早,但我們認為隨著這些需求驅動因素對先進和成熟節點的全力以赴,我們預計 Logic 收入將從已經非常高的 74 億歐元增長至少 10% 2020 年。

  • In Memory, customers have indicated that inventory levels continue to come down and expect a further tightening of supply throughout the year.

    在內存方面,客戶表示庫存水平繼續下降,預計全年供應將進一步收緊。

  • As is the case with Logic, the digital transformation is also fueling memory demand across the broadening application space.

    與 Logic 一樣,數字化轉型也在不斷擴大的應用空間中推動內存需求。

  • Customers continue to see healthy demand in data centers with increasing Memory content in consumer electronics.

    隨著消費電子產品中內存內容的增加,客戶繼續看到數據中心的健康需求。

  • With customers indicating stronger bit growth this year for DRAM around 20% and for 3D NAND around 30% to 35%.

    客戶表示今年 DRAM 的位增長強勁,約為 20%,3D NAND 的位增長約為 30% 至 35%。

  • And taking into account lithography 2 utilization already at high levels, we expect a recovery in lithography demand for Memory to continue this -- through this year.

    考慮到光刻機 2 的利用率已經很高,我們預計內存光刻機需求的複蘇將持續到今年。

  • Therefore, we expect Memory revenue to be up around 20% this year from EUR 2.9 billion in 2020.

    因此,我們預計今年內存收入將從 2020 年的 29 億歐元增長約 20%。

  • Although DRAM primarily uses DPV technology today, we do expect our EUV shipments to DRAM customers to increase in the coming years.

    儘管當今 DRAM 主要使用 DPV 技術,但我們確實預計未來幾年我們向 DRAM 客戶的 EUV 出貨量將會增加。

  • On our Installed Base revenue -- or Installed Base business, service revenue will continue to scale with the growing installed base.

    在我們的已安裝基礎收入(或已安裝基礎業務)中,服務收入將隨著安裝基礎的增長而繼續擴大。

  • We expect an increase in contribution from EUV service revenue as these systems run more and more wafers in volume production.

    隨著這些系統在量產中運行越來越多的晶圓,我們預計 EUV 服務收入的貢獻將增加。

  • Customers will continue to utilize upgrades to increase capacity and improve imaging and overlay performance required of future nodes.

    客戶將繼續利用升級來增加容量並改善未來節點所需的成像和覆蓋性能。

  • With this continued growth in both service and upgrade business this year, we expect Installed Base revenue to be up around 10% this year from EUR 3.7 billion in 2020.

    隨著今年服務和升級業務的持續增長,我們預計今年 Installed Base 收入將比 2020 年的 37 億歐元增長約 10%。

  • All in all, we started the year with robust demand across the entire industry and across all geographical regions.

    總而言之,我們在整個行業和所有地理區域都有強勁的需求。

  • This should bode well for a double-digit upside from our 2020 revenue numbers.

    對於我們 2020 年的收入數字而言,這應該是兩位數的好兆頭。

  • We feel comfortable with the levels of potential growth expectation for business segment, but clearly see potential upside to these numbers, where we can disregard any further impact of export control regulations resulting from the current geopolitical situation.

    我們對業務部門的潛在增長預期水平感到滿意,但清楚地看到這些數字的潛在上行空間,我們可以忽略當前地緣政治局勢導致的出口管制法規的任何進一步影響。

  • I would now like to update you on our products and business starting with EUV.

    我現在想向您介紹我們從 EUV 開始的產品和業務。

  • EUV is making strong progress and continues to mature as we execute our road map and grow our Logic and DRAM business.

    隨著我們執行路線圖並發展我們的邏輯和 DRAM 業務,EUV 正在取得強勁進展並繼續成熟。

  • As Roger mentioned, we shipped 9 systems and recognized revenue of 8 systems in Q4, bringing the total to 31 systems in 2020, with a revenue of EUR 4.5 billion for the year.

    正如羅傑所說,我們在第四季度出貨了 9 個系統並確認了 8 個系統的收入,使 2020 年的系統總數達到 31 個,全年收入為 45 億歐元。

  • This translates to about 60% growth in EUV systems revenue, reflecting the expanding use of this technology in high-volume manufacturing.

    這意味著 EUV 系統收入增長了約 60%,反映了該技術在大批量製造中的廣泛應用。

  • Based on customers' growing EUV demand in advanced nodes, we currently expect a growth of around 30% over last year, translating to around EUR 5.8 billion in EUV system revenue for 2021.

    基於客戶對先進節點的 EUV 需求不斷增長,我們目前預計將比去年增長 30% 左右,相當於 2021 年 EUV 系統收入約為 58 億歐元。

  • We continue to improve the EUV manufacturing cycle time to enable the capacity in our factory to meet the growing EUV demand.

    我們繼續改進 EUV 製造週期,以使我們工廠的產能能夠滿足不斷增長的 EUV 需求。

  • We will continue to drive the EUV 0.33 NA product road map, which is aligned to our customers' note cadence.

    我們將繼續推動 EUV 0.33 NA 產品路線圖,該路線圖與客戶的注意節奏保持一致。

  • Our goal is to deliver value to our customers via performance improvements in imaging, overlay and productivity.

    我們的目標是通過成像、疊加和生產力方面的性能改進為我們的客戶創造價值。

  • As customers continue to shrink on future nodes, the performance improvements of our 0.33 NA systems road map will also enable cost-effective double patterning solutions before customers reach a point where it will require High-NA to reduce process complexity.

    隨著客戶在未來節點上的不斷縮小,我們 0.33 NA 系統路線圖的性能改進還將在客戶達到需要高 NA 以降低工藝複雜性的地步之前實現具有成本效益的雙圖案化解決方案。

  • We are aligning with customers on the road map timing of High-NA, insertion and volume production, currently estimated to be in the 2025, 2026 time frame.

    我們正在與客戶就 High-NA、插入和量產的路線圖時間保持一致,目前估計在 2025 年、2026 年的時間範圍內。

  • To meet this time line, we will start integration of the modules this year and plan to have first qualified system in 2022.

    為了滿足這個時間線,我們將在今年開始集成模塊,併計劃在 2022 年擁有第一個合格的系統。

  • We plan initial installation of the first systems at customer site in 2023, and plan to provide a more detailed update on our High-NA program during our Investor Day this year.

    我們計劃於 2023 年在客戶現場首次安裝第一批系統,併計劃在今年的投資者日期間提供有關我們的高 NA 計劃的更詳細更新。

  • In our DPV business, we're focused on meeting our customers' increasing demand for all of our DPV products by maximizing factory capacity, reducing installation cycle time and optimizing performance of our systems in the field.

    在我們的 DPV 業務中,我們專注於通過最大限度地提高工廠產能、縮短安裝週期時間和優化我們系統在現場的性能來滿足客戶對我們所有 DPV 產品日益增長的需求。

  • As we mentioned earlier, the application space for Logic is expanding rapidly, which also has an effect on the demand for DPV products across our entire product offering.

    正如我們之前提到的,Logic 的應用空間正在迅速擴大,這也對我們整個產品供應中對 DPV 產品的需求產生了影響。

  • The demand has actually been stronger than we anticipated some years ago, which means that we have increased our investments in R&D to provide our customers with ever more powerful and productive litho machines to help them deal with the increasing demand and lower cost per chip challenges.

    需求實際上比我們幾年前的預期要強勁,這意味著我們增加了在研發方面的投資,為我們的客戶提供更強大、更高效的光刻機,以幫助他們應對不斷增長的需求和降低單芯片成本的挑戰。

  • Our DPV R&D plan, therefore, includes a significant program to bring DPV to our -- from our XT platform onto the NXT platform, thereby seriously boosting productivity and lithographic performance such as CD and overlay.

    因此,我們的 DPV 研發計劃包括一項重要計劃,將 DPV 從我們的 XT 平台帶到 NXT 平台,從而顯著提高生產力和光刻性能,例如 CD 和覆蓋。

  • In our application business, we had a record year for YieldStar shipments and shipped the first YieldStar 385 to a customer in Q4.

    在我們的應用業務中,我們的 YieldStar 出貨量創歷史新高,並在第四季度向客戶交付了第一台 YieldStar 385。

  • The YieldStar 385 offers the latest overlay of focus metrology with enhanced throughput and accuracy to its customers' future node requirements.

    YieldStar 385 提供最新的聚焦計量疊加,提高了吞吐量和準確性,以滿足客戶未來的節點要求。

  • We also shipped an additional 2 e-scan 1,000 multi-beam systems in Q4, bringing the total number of shipments in 2020 to 3. And with 9 beams and high-speed stage technology, these ASMLs provide up to 600% higher productivity in single-beam systems.

    我們還在第四季度額外交付了 2 台 e-scan 1,000 多光束系統,使 2020 年的總出貨量達到 3 台。這些 ASML 採用 9 光束和高速載物台技術,單次生產效率提高了 600% -光束系統。

  • In summary, 2020 was another great year despite the challenges presented by the pandemic.

    總而言之,儘管大流行帶來了挑戰,但 2020 年又是一個偉大的一年。

  • For 2021, taking into account that we're coming off a higher 2020 revenue base, we still expect a year of double-digit growth.

    對於 2021 年,考慮到我們正在擺脫 2020 年更高的收入基礎,我們仍然預計這一年將實現兩位數的增長。

  • This is driven by strong demand in Logic and continued recovery in Memory with potential upside to these numbers where we can disregard any further impact of export control regulations.

    這是由邏輯的強勁需求和內存的持續復甦推動的,這些數字具有潛在的上升空間,我們可以忽略出口管制法規的任何進一步影響。

  • The build-out of the digital infrastructure across multiple markets drives demand for both advanced as well as mature process nodes.

    跨多個市場構建數字基礎設施推動了對先進和成熟流程節點的需求。

  • This is expected to fuel demand across our entire product portfolio.

    預計這將推動我們整個產品組合的需求。

  • Although there are, of course, still some near-term macro and geopolitical uncertainties, the long-term demand drivers only increase our confidence in our future growth outlook towards 2025.

    當然,儘管短期內仍存在一些宏觀和地緣政治不確定性,但長期需求驅動因素只會增加我們對 2025 年未來增長前景的信心。

  • And with that, we will be happy to take your questions.

    有了這個,我們將很樂意回答您的問題。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, Roger and Peter.

    謝謝你,羅杰和彼得。

  • The operator will instruct you momentarily on the protocol for the Q&A session.

    接線員將立即指導您問答環節的協議。

  • (Operator Instructions) Now operator, could we have your final instructions and then the first question, please.

    (操作員說明)現在操作員,請給我們您的最後說明,然後是第一個問題。

  • Operator

    Operator

  • (Operator Instructions) The first question is from Mr. Joe Quatrochi.

    (操作員說明)第一個問題來自 Joe Quatrochi 先生。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • It's Wells Fargo.

    是富國銀行。

  • I was hoping to get some color on your Memory business and the demand you're seeing there.

    我希望對您的內存業務和您在那裡看到的需求有所了解。

  • I think last quarter, you had talked about 30% growth for this year for Memory revenue, but you came in a little bit below that.

    我認為上個季度,您曾談到今年內存收入增長 30%,但您的收入略低於該數字。

  • So I guess, did something change there?

    所以我想,那裡有什麼變化嗎?

  • And then how do we think about that follow through to that into 2021?

    然後我們如何考慮到 2021 年的後續行動?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Thanks, Joe.

    謝謝,喬。

  • No, I don't think anything really materially changed there.

    不,我認為那裡沒有任何實質性的改變。

  • In fact, the fact that we kind of missed the 30% was, in essence, the reallocation of a few tools from Memory into Logic.

    事實上,我們錯過了 30% 的事實本質上是一些工具從內存重新分配到邏輯。

  • So that really had to deal with where do the tools go by the end of the quarter.

    所以這真的必須處理到本季度末工具的去向。

  • So really is a spread over Q4 versus Q1.

    第四季度與第一季度的價差確實如此。

  • So not a systemic reason.

    所以不是系統性的原因。

  • The momentum that we saw building up in Memory in the course of last year, we think, is continuing.

    我們認為,去年我們在 Memory 中看到的勢頭正在持續。

  • And that has to do with the things that Peter talked about.

    這與彼得談到的事情有關。

  • We see the bid growth development, the 20% for DRAM, 35% for NAND.

    我們看到出價增長,DRAM 為 20%,NAND 為 35%。

  • And of course, that's driven by demand in data centers.

    當然,這是由數據中心的需求驅動的。

  • It's driven by what we see in terms of Memory being design and used in consumer electronics.

    它是由我們在消費電子產品中設計和使用的內存方面看到的。

  • So we see those underpinnings continue.

    因此,我們看到這些基礎仍在繼續。

  • We also see that the utilization of the lithography tools is at a very, very high level.

    我們還看到,光刻工具的利用率非常高。

  • So the demand momentum that we saw already in the second half of 2020 we believe is sustained into 2021.

    因此,我們認為在 2020 年下半年已經看到的需求勢頭將持續到 2021 年。

  • And that's the reason why we, again, forecast a 20% increase there for 2021.

    這就是為什麼我們再次預測 2021 年將增長 20% 的原因。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • That's helpful.

    這很有幫助。

  • And then as a quick follow-up, in the prepared remarks, you talked about some supply chain limitations potentially on the EUV side that you're seeing.

    然後作為快速跟進,在準備好的評論中,您談到了您所看到的 EUV 方面可能存在的一些供應鏈限制。

  • I guess does that change your expectations for producing 45 to 50 units or your capacity to do that number of units this year?

    我想這是否會改變您對生產 45 到 50 個單位的期望或您今年生產該數量單位的能力?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • I think our capacity, our capability internal in the Netherlands, in Veldhoven, to build 50 systems is there in terms of people and square meters.

    我認為我們的能力,我們在荷蘭的內部能力,在 Veldhoven,建造 50 個系統的能力是在人員和平方米方面存在的。

  • Now we have to build those systems out of modules, which we don't produce.

    現在我們必須用我們不生產的模塊來構建這些系統。

  • It's in the supply chain.

    它在供應鏈中。

  • And it's just a reflection of what happened last year in Q2 and Q3, where as -- clearly, our key foundry customer came back and said, listen, our key customer for N3 is now blacklisted, so we cannot ship, so we need to adjust our 2021 outlook for EUV systems, which was followed by another customer that said, well, we're going to delay the road map, which also means that this will be pushed back once again, which actually led to a situation where we actually reduced the number of planned systems 2021 for EUV, because customers said, these are the 2 reasons.

    這只是去年第二季度和第三季度發生的事情的反映,因為——很明顯,我們的主要代工廠客戶回來說,聽著,我們 N3 的主要客戶現在被列入黑名單,所以我們不能發貨,所以我們需要調整我們對 EUV 系統的 2021 年展望,隨後另一位客戶說,好吧,我們將推遲路線圖,這也意味著這將再次推遲,這實際上導致了我們實際上減少了 EUV 的 2021 年計劃系統數量,因為客戶說,這是兩個原因。

  • And they were 2 big customers.

    他們是兩個大客戶。

  • So what we did, we went to the supply chain and said, sorry, we need those lenses and lasers.

    所以我們做了什麼,我們去供應鏈說,對不起,我們需要那些鏡頭和激光器。

  • Very expensive pieces of equipment.

    非常昂貴的設備。

  • We need them actually later.

    我們稍後實際上需要它們。

  • You need to realize that the integral lead time between the installation of an EUV tool and the start-up of module production is 20 months.

    您需要意識到從安裝 EUV 工具到啟動模塊生產之間的完整提前期為 20 個月。

  • So when you push back 6, 7, 8 months, yes, then -- and then finally, customers come to the realization that it's not as bad as they thought, and they want those machines.

    因此,當您推遲 6、7、8 個月時,是的,然後——最後,客戶意識到這並沒有他們想像的那麼糟糕,他們想要這些機器。

  • Then we have an issue with getting the modules on time, and that's the only issue.

    然後我們遇到了按時獲取模塊的問題,這是唯一的問題。

  • The only issue.

    唯一的問題。

  • It's just a result.

    這只是一個結果。

  • It's a function of the fact that our customers changed their mind in Q2 and Q3 and then rechanged their mind back in Q4, and today.

    這是因為我們的客戶在第二季度和第三季度改變了主意,然後在第四季度和今天又改變了主意。

  • But there's nothing we can do about it, which actually means that we're all prepared to do 50 units next year.

    但是我們對此無能為力,這實際上意味著我們都準備明年做 50 個單位。

  • That is in 2022, it will just shift to 2022, yes?

    那是在 2022 年,它只會轉移到 2022 年,是嗎?

  • So it's the -- so it's -- you could say it's supply chain limitations by design because it's -- because the customers told us, we don't need them.

    所以它是 - 所以它是 - 你可以說這是設計上的供應鏈限制,因為它是 - 因為客戶告訴我們,我們不需要它們。

  • And coming back there, oops, we might have been wrong.

    回到那裡,哎呀,我們可能錯了。

  • Operator

    Operator

  • Next question is from Mr. Alex Duval.

    下一個問題來自 Alex Duval 先生。

  • Alexander Duval - Equity Analyst

    Alexander Duval - Equity Analyst

  • It's Alex from Goldman Sachs.

    是高盛的亞歷克斯。

  • Congratulations on the very strong results.

    祝賀你取得了非常好的結果。

  • A quick question on the CapEx spending backdrop and how that feeds into your guidance.

    關於資本支出背景以及如何將其納入您的指導的快速問題。

  • Obviously, TSMC is a very large CapEx spender.

    顯然,台積電是一個非常大的資本支出者。

  • They've guided over 30% CapEx growth this year.

    他們今年指導了超過 30% 的資本支出增長。

  • Yet, your guidance, although very strong, it's closer to 12% on revenues.

    然而,您的指導雖然非常強大,但接近 12% 的收入。

  • Clearly, you also have other verticals, do you also have other customers in the mix.

    顯然,您還有其他垂直行業,您是否也有其他客戶。

  • But I wondered if you could give a little bit more color as to any areas where at the moment, you're being more prudent on your guidance, but where you could be a bit more positive over time and what you need to see to get more constructive?

    但我想知道您是否可以對目前您在指導上更加謹慎的任何領域提供更多色彩,但隨著時間的推移您可能會變得更加積極,以及您需要看到什麼更有建設性?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • We gave a range, EUR 25 billion to EUR 28 billion.

    我們給出了 250 億歐元至 280 億歐元的範圍。

  • Hey, great.

    嘿,太好了。

  • We plan our business based on what they ask us.

    我們根據他們的要求來規劃我們的業務。

  • And as you know, TSMC has been asking us, in 2020, on several occasions, to ship very different numbers for 2021.

    如您所知,台積電在 2020 年多次要求我們在 2021 年出貨的數量非常不同。

  • So this is -- what I'm saying is it's in constant flux.

    所以這是 - 我要說的是它在不斷變化。

  • So what they are asking us and telling us that they would like from that point of view, has a range, and we need to be able to respond to that.

    所以他們問我們並告訴我們他們從這個角度想要的東西有一個範圍,我們需要能夠對此做出回應。

  • So I don't think you can draw any direct conclusion from the TSMC CapEx numbers.

    所以我認為你不能從台積電的資本支出數據中得出任何直接的結論。

  • Directionally, yes, but not in absolute terms.

    在方向上,是的,但不是絕對的。

  • Now having said that, I also said that I do believe that we see upside to the -- your calculated 12%.

    話雖如此,我還說我確實相信我們看到了你計算的 12% 的上升空間。

  • And an upside is clearly there, but I think that's upside that comes out of the Logic space in China.

    顯然有一個好處,但我認為這是來自中國邏輯空間的好處。

  • And of course, that will happen if the current export control regulations stay as is.

    當然,如果當前的出口管制法規保持不變,那將會發生。

  • Now you could argue also, why do you -- why are you so conservative?

    現在你也可以爭論,你為什麼——你為什麼這麼保守?

  • Well, simply because what we've seen over the last 2 months in terms of regulations that we had to deal with and that basically we're issued rather suddenly, there is a level of conservatism at -- and on our side.

    好吧,僅僅因為我們在過去兩個月中看到的我們必須處理的法規,基本上我們是相當突然地發布的,所以在我們這邊和我們這邊都有一定程度的保守主義。

  • I said, we're not going to add that upside yet to your 12%.

    我說,我們不會將這個上升空間添加到您的 12% 中。

  • Because we've been -- I wouldn't go per it, but I mean we've been surprised on a regular basis by all these new regulations that do have an impact on our business.

    因為我們一直 - 我不會遵守它,但我的意思是我們經常對所有這些確實對我們的業務產生影響的新規定感到驚訝。

  • So where nothing changes, it stays as is, there is a significant upside to what we told you today, but then everything needs to stay as is.

    因此,在沒有任何變化的情況下,它保持原樣,我們今天告訴你的內容有很大的好處,但是一切都需要保持原樣。

  • And I think we made that clear in our prepared remarks.

    我認為我們在準備好的發言中已經明確了這一點。

  • Operator

    Operator

  • Next question is from Mr. Mehdi Hosseini.

    下一個問題來自 Mehdi Hosseini 先生。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • It's Mehdi Hosseini from Susquehanna International.

    是 Susquehanna International 的 Mehdi Hosseini。

  • 2 questions.

    2個問題。

  • Peter, when you look in the longer term, looking at 3-nanometer transition, I understand opportunities in the near term.

    彼得,從長遠來看,從 3 納米過渡來看,我理解短期內的機會。

  • But I want to hear more about what your thinking has been, migrate to the second generation of entry.

    但我想听聽更多關於你的想法,遷移到第二代入門。

  • And I want to better understand how change of transistor architecture, like Samsung migrating to gate-all-around.

    而且我想更好地了解晶體管架構的變化,例如三星遷移到全方位柵極。

  • And also introduction of High-NA is going to impact your overall system shipment.

    此外,High-NA 的引入也會影響您的整體系統出貨量。

  • And I'm -- in context of what happened in -- during 2014 through 2016, when we migrated from planar transistor to FinFET.

    我 - 在 2014 年到 2016 年期間發生的事情的背景下,當我們從平面晶體管遷移到 FinFET 時。

  • And there was some slowdown, and I want to see if you see the same kind of pattern happening, and I have a follow-up.

    還有一些放緩,我想看看你是否看到同樣的模式正在發生,我有一個後續行動。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • I'll follow up on 4 questions.

    我將跟進 4 個問題。

  • So on the transistor architecture, yes, I think what we know today on the patterning side and especially, I would say, on the geometric side, I don't think it has a major impact.

    所以在晶體管架構上,是的,我認為我們今天在圖案方面所知道的,尤其是在幾何方面,我認為它不會產生重大影響。

  • That's not what we expect.

    這不是我們所期望的。

  • So whether it's the FinFET or the gate-all-around, it is in the 3-nanometer realm.

    因此,無論是 FinFET 還是環柵,都處於 3 納米領域。

  • And customers need that lithographic capability.

    客戶需要這種光刻能力。

  • And it's an architectural choice, as you pointed out.

    正如您所指出的,這是一種架構選擇。

  • We don't think it has a major impact on our business.

    我們認為這不會對我們的業務產生重大影響。

  • The longer-term 3-nanometer transition.

    長期的 3 納米過渡。

  • I think you were probably referring to the transistor architecture change.

    我想你可能指的是晶體管架構的變化。

  • We'll just have to see how that pans out.

    我們只需要看看結果如何。

  • An architecture change, even when we had FinFET, you also know that wasn't completely flawless because it is new, yes?

    架構更改,即使我們有 FinFET,您也知道這並非完全完美,因為它是新的,是嗎?

  • And if there is a slowdown, it probably could be a slowdown because the technology is indeed new.

    如果出現放緩,它可能會放緩,因為這項技術確實是新的。

  • And that the ramp is potentially slower.

    而且坡道可能更慢。

  • But that's speculation at this moment in time.

    但這是目前的猜測。

  • From a, let's say, lithographic point of view, there's not much difference.

    從光刻的角度來看,沒有太大的區別。

  • How does that impact High-NA system shipments?

    這對高 NA 系統的出貨量有何影響?

  • Well, High-NA is not slated for the N3 node.

    好吧,N3 節點沒有計劃使用 High-NA。

  • It starts to be used at N2 and N2+.

    它開始用於 N2 和 N2+。

  • I would say N2+ and N1 and beyond.

    我會說 N2+ 和 N1 及以上。

  • So in -- for the 3-nanometer node, it doesn't have a major impact.

    因此,對於 3 納米節點,它不會產生重大影響。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • I have a very short follow-up.

    我有一個很短的跟進。

  • China as a mix of your overall revenue has been going up 12%, 19%, 17% 2020.

    到 2020 年,中國占您總收入的比重一直在增長 12%、19%、17%。

  • How do you see that trending in '21?

    您如何看待 21 年的趨勢?

  • And I understand this could be a source of upside, but what is your current projection?

    我知道這可能是上漲的來源,但你目前的預測是什麼?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • I think what we see -- we see that trend keep going up.

    我認為我們所看到的 - 我們看到這種趨勢不斷上升。

  • It has to do with the fact that there is a significant amount of investment plan in China, Memory and Logic.

    這與在中國、Memory 和 Logic 有大量投資計劃有關。

  • In our -- what we gave you in terms of growth for 2021, 10% Logic, 20% Memory, 10% Installed Base.

    在我們提供的 2021 年增長方面,10% 邏輯、20% 內存、10% 安裝基數。

  • Our assumption there is that we -- that the indigenous Chinese business has about the same euro level, yes.

    我們的假設是,中國本土企業的歐元水平大致相同,是的。

  • But it's a different type of customer, yes?

    但這是不同類型的客戶,是嗎?

  • I mean as we told you also 3 months ago that we expect 2021 China business to grow, but largely in Memory.

    我的意思是,正如我們在 3 個月前告訴你的那樣,我們預計 2021 年中國業務將會增長,但主要是在內存方面。

  • So it's in 3D NAND and in DRAM.

    所以它在 3D NAND 和 DRAM 中。

  • Those are the big drivers.

    這些是主要驅動力。

  • And that's in what I would call, what we gave you to calculate and somebody calculated it to a 12% growth number.

    這就是我所說的,我們給你計算的東西,有人計算出12%的增長數字。

  • On top of that, there is a significant upside in Logic.

    最重要的是,Logic 有很大的優勢。

  • How big can that be?

    那能有多大?

  • It's significant.

    這很重要。

  • But like I said, we're a conservative company.

    但就像我說的,我們是一家保守的公司。

  • We've experienced the unpredictability of the legislation over the last couple of months.

    在過去的幾個月裡,我們經歷了立法的不可預測性。

  • We don't want to put that into your forecast right now, but when things don't change and they stay as is, there is some significant Logic upside in China.

    我們現在不想將其納入您的預測,但是當事情沒有改變並且保持不變時,中國會有一些顯著的 Logic 上行空間。

  • Operator

    Operator

  • Next question is from Mr. C.J. Muse.

    下一個問題來自 C.J. Muse 先生。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • It's C.J. with Evercore ISI.

    這是 C.J. 與 Evercore ISI 的合作。

  • I guess first question on gross margins.

    我想第一個問題是關於毛利率的。

  • You gave a pretty solid outlook for the March quarter.

    您對 3 月季度給出了相當可靠的展望。

  • And as we move into the second half of the year, you're going to start shipping the EUV tools, I would assume.

    隨著我們進入下半年,你將開始運送 EUV 工具,我想。

  • The installed base would start to come off warranty on the EUV side as well helping.

    在 EUV 方面,已安裝的基礎也將開始脫離保修期。

  • So how should we think about the trajectory of gross margins?

    那麼我們應該如何看待毛利率的軌跡呢?

  • And is 52% plus or minus doable for the full year now?

    現在全年實現 52% 的增減是可行的嗎?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Thank you, C.J. So you might recall in the last call, in the Q3 call that we had, we talked about a bandwidth for the year between 48% and 50%.

    謝謝你,C.J。所以你可能還記得在上一次電話會議中,在我們的第三季度電話會議中,我們談到了今年的帶寬在 48% 到 50% 之間。

  • We also reminded people at that stage that in comparison to 2019, we also started the year with a 1% negative as far as that is concerned on High-NA.

    我們還在那個階段提醒人們,與 2019 年相比,就 High-NA 而言,我們在年初也有 1% 的負面影響。

  • So that was one of the reasons why before doing your bridge between 2019 and 2020, you first need to dug that 1% out there.

    所以這就是為什麼在 2019 年和 2020 年之間建立橋樑之前,你首先需要挖出那 1% 的原因之一。

  • I think bearing that in mind, but also bearing in mind how sales seems to be firming up in this year, my expectation would be that we're going to see gross margin trend towards the upper limit of the bandwidth.

    我認為考慮到這一點,同時也考慮到今年的銷售情況似乎正在走強,我的預期是我們將看到毛利率趨向帶寬上限。

  • So the bandwidth of 48% to 50% that I gave in Q3, my current expectation based on the composition of sales would be that that's going to trend up towards the upper limit of that bandwidth.

    因此,我在第三季度給出的 48% 到 50% 的帶寬,我目前基於銷售構成的預期是,這將趨向於該帶寬的上限。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • Okay.

    好的。

  • That's helpful.

    這很有幫助。

  • And then I guess a quick follow-up on a prior question around EUV supply constraints.

    然後我想快速跟進之前關於 EUV 供應限制的問題。

  • It looks like implied planned revenue units is 40, your backlog is 42.

    看起來隱含的計劃收入單位是 40,你的積壓是 42。

  • Curious if you think your supply chain can offer any upside to that 40?

    好奇您是否認為您的供應鏈可以為這 40 個提供任何好處?

  • And then if not, what does that tell you around EUV tool demand in '22?

    如果不是,那麼這對 22 年 EUV 工具的需求有何影響?

  • And do you need to start thinking about having sufficient capacity above 50 units?

    您是否需要開始考慮擁有超過 50 個單位的足夠容量?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • Okay.

    好的。

  • Good question.

    好問題。

  • I think, of course, we'll push the supply chain.

    我認為,當然,我們會推動供應鏈。

  • And -- but don't expect miracles there.

    而且——但不要指望那裡有奇蹟。

  • I mean if you get -- at the end of the year, you get 1 or 2 tools extra, okay, fine.

    我的意思是,如果你得到 - 在年底,你會額外得到 1 或 2 個工具,好吧,很好。

  • But it's not going to give you 5 or 10 tools extra.

    但它不會為您提供 5 或 10 個額外的工具。

  • It's simply not possible.

    這根本不可能。

  • I mean -- so what actually means is that the demand that we cannot fulfill this year, we will fulfill next year.

    我的意思是 - 所以實際上意味著我們今年無法滿足的需求,我們將在明年實現。

  • And your point on the 50 capacity.

    和你關於50容量的觀點。

  • I think it's efficient.

    我認為這是有效的。

  • The 50 capacity has to do with the fact that although customers are buying units, they're buying basically wafer capacity.

    50 容量與這樣一個事實有關,即儘管客戶購買的是單元,但他們購買的基本上是晶圓容量。

  • And don't forget, we have a higher productivity tool coming out of the 3600D in the second half of this year, which has a 15% higher productivity.

    別忘了,我們在今年下半年推出了一款生產力更高的工具 3600D,它的生產力提高了 15%。

  • So with 2022, only being these, you already get a 15% higher wafer capacity out there.

    因此,到 2022 年,只有這些,您的晶圓產能已經提高了 15%。

  • So with the 50 that we feel comfortable with.

    因此,對於我們感到滿意的 50。

  • Also, from a supply chain point of view, we'll be able to manage that for next year, yes.

    此外,從供應鏈的角度來看,我們將能夠在明年進行管理,是的。

  • And the 15% higher productivity on the tools compared to the C, you actually see that we have quite some -- we have ample opportunity to help our customers build wafer capacity, yes?

    與 C 相比,工具的生產率提高了 15%,您實際上看到我們有很多——我們有足夠的機會幫助我們的客戶建立晶圓產能,是嗎?

  • So I think it's enough.

    所以我認為這就足夠了。

  • Operator

    Operator

  • Next question is from Mr. Adithya Metuku.

    下一個問題來自 Adithya Metuku 先生。

  • Adithya Satyanarayana Metuku - Associate

    Adithya Satyanarayana Metuku - Associate

  • Yes.

    是的。

  • This is Bank of America.

    這是美國銀行。

  • So my first question is just on your IBM customer.

    所以我的第一個問題是關於您的 IBM 客戶的。

  • There's been a lot of discussion around whether the foundries are including something from them or whether they're not.

    關於代工廠是否包含他們的某些東西,有很多討論。

  • I just wonder if you could give us some color on what you've factored into your FY '21 outlook for -- from your IBM customers?

    我只是想知道您是否可以向我們提供一些關於您在 21 財年展望中考慮到的因素——來自您的 IBM 客戶?

  • And secondly, I had a follow-up.

    其次,我進行了跟進。

  • So if you could answer that, I'll come to the follow-up.

    所以,如果你能回答這個問題,我會來跟進。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • I think we're not going to be specific on any customer as you can imagine because of the fact that we only have very few.

    我認為我們不會像您想像的那樣具體針對任何客戶,因為我們只有很少的客戶。

  • So the issue is that when we look at 2021, 2 things are impacting our shipment schedule to our leading-edge customers is, one is what you refer to is effectively -- has there been a transition from tools that we originally planned for customer A potentially to customer B and C. I think, yes, that has happened.

    所以問題是,當我們展望 2021 年時,有兩件事正在影響我們向領先客戶的發貨時間表,一是您所指的是有效的——我們最初為客戶 A 計劃的工具是否已經過渡潛在客戶 B 和 C。我認為,是的,這已經發生了。

  • But on top of that, I think there is the increased demand for advanced nodes, yes?

    但最重要的是,我認為對高級節點的需求增加了,是嗎?

  • So it's the combination of the 2 that actually caters for maximizing the shipments out of our shipment capability, and it's the redistribution that has happened.

    因此,這兩者的結合實際上滿足了我們運輸能力的最大運輸需求,並且已經發生了重新分配。

  • So yes, I think we're, in that sense, sold out for this year, but perhaps with a one or two upsides, we are referring to the previous question.

    所以是的,我認為從這個意義上說,我們今年已經售罄,但也許有一兩個好處,我們指的是前一個問題。

  • But I think no impact on this foreseen in our 2021 numbers.

    但我認為這不會對我們 2021 年的數據中的預期產生影響。

  • Adithya Satyanarayana Metuku - Associate

    Adithya Satyanarayana Metuku - Associate

  • Understood.

    明白了。

  • And just as a follow-up, just on the metrology side, I just wondered if you could give us some color on how you're thinking about revenues in metrology in fiscal year '21?

    作為後續行動,就計量方面而言,我只是想知道您是否可以就您如何看待 21 財年的計量收入給我們一些顏色?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • I think you're probably referring to the multi-beam tools, yes?

    我想你可能指的是多光束工具,是嗎?

  • Adithya Satyanarayana Metuku - Associate

    Adithya Satyanarayana Metuku - Associate

  • Yes.

    是的。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • I think those tools, 3 have been shipped to R&D centers.

    我認為那些工具,3 個已經運到了研發中心。

  • They need to be qualified.

    他們需要有資格。

  • So that is going to be the key decision point.

    所以這將是關鍵的決策點。

  • So customers are putting them into their metrology architecture and basically needs to be qualified with -- there's no loyalty to itself, but it's, of course, the software that actually drives the tools.

    因此,客戶將它們放入他們的計量架構中,並且基本上需要具備資格 - 對自己沒有忠誠度,但它當然是實際驅動工具的軟件。

  • So when that's done, we will recognize revenue.

    因此,完成後,我們將確認收入。

  • That's how it works.

    這就是它的工作原理。

  • So it's this year for those 3 tools.

    所以今年是這三個工具。

  • Adithya Satyanarayana Metuku - Associate

    Adithya Satyanarayana Metuku - Associate

  • Understood.

    明白了。

  • I just meant if you could give us some color around the growth in that bid, given these multi-beam tools are now shipping.

    我的意思是,鑑於這些多光束工具現在正在發貨,您能否給我們一些關於該出價增長的顏色。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • So it's what we're -- what we expect for 2021 is that we will have positive evaluations.

    所以這就是我們 - 我們對 2021 年的期望是我們將獲得積極的評價。

  • And those positive evaluations will be followed by orders.

    那些積極的評價將緊隨其後。

  • So orders for HVM shipments.

    所以訂購 HVM 貨物。

  • When that will happen, it's still a bit unclear because it depends on where we get the sign-offs, yes?

    什麼時候會發生,還不清楚,因為這取決於我們在哪裡獲得批准,是嗎?

  • But we could be able to -- we expect sign off in the first half of 2021.

    但我們可以——我們預計在 2021 年上半年簽署。

  • So this year, then we could see orders for shipment towards the end of 2022, yes?

    所以今年,我們可以看到 2022 年底的發貨訂單,是嗎?

  • But I think -- so end of 2021.

    但我認為 - 所以到 2021 年底。

  • But I think that we would see an acceleration of that in the year 2022.

    但我認為我們會在 2022 年看到這一趨勢的加速。

  • So I think 2021 will be characterized by the qualification.

    所以我認為2021年將以資格為特徵。

  • And the decision of the customers to put multi-beam tools in their metrology strategy, which then will probably lead to first shipments towards the end of this year and then accelerating in 2022.

    客戶決定將多光束工具納入他們的計量戰略,這可能會導致今年年底首批出貨,然後在 2022 年加速出貨。

  • Operator

    Operator

  • Next question is from Mr. Sandeep Deshpande.

    下一個問題來自 Sandeep Deshpande 先生。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • JPMorgan.

    摩根大通。

  • I'd like to just go back to the question on the Memory market.

    我想回到內存市場上的問題。

  • You had guided to 30% growth last year.

    去年你指導了 30% 的增長。

  • You did around 20%.

    你做了大約20%。

  • This year, you're guiding the 20% growth.

    今年,您正在指導 20% 的增長。

  • There is also the added -- there is going to be the shift to EUV-based DRAM at some point end of this year or into '22.

    還有一個附加因素——在今年年底或 22 年的某個時候,將轉向基於 EUV 的 DRAM。

  • So how should we be looking at the overall Memory outlook for ASML here?

    那麼我們應該如何看待 ASML 的整體內存前景呢?

  • I mean are we going to see an even more accurate outlook because of what we saw last year in terms of what you reported?

    我的意思是,由於我們去年在您報告的內容方面看到的情況,我們會看到更準確的前景嗎?

  • Or is this -- that this just goes along and it's just something shifted, and that is why it has happened?

    或者這是 - 這只是繼續,只是發生了一些變化,這就是它發生的原因?

  • And I have 1 quick follow-up on the EUV associated with Memory.

    我對與內存相關的 EUV 有 1 個快速跟進。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes, I think Memory outlook, it actually is developing the way that we expected and the way that we told you.

    是的,我認為內存前景,它實際上正在按照我們預期的方式和我們告訴你的方式發展。

  • You may remember that since the middle of last year, we've told you that we see utilization is going up.

    您可能還記得,自去年年中以來,我們已經告訴您,我們看到利用率正在上升。

  • And that there will be a point where if we are at the theoretical maximum utilization that our customers will want more capacity.

    如果我們處於理論上的最大利用率,我們的客戶將需要更多容量。

  • And that's actually happening.

    這實際上正在發生。

  • So now on the -- and I think Roger explained that on the 20% to 30% growth.

    所以現在 - 我認為羅傑解釋了 20% 到 30% 的增長。

  • The only reason why the 30% isn't 30% -- not 30%, but 20% is because some of those shipments that were earmarked Memory actually went to Logic.

    30% 不是 30%——不是 30%,而是 20% 的唯一原因是,其中一些指定為內存的出貨量實際上去了邏輯。

  • Why?

    為什麼?

  • Because Logic was on fire, and Memory was getting into fire in 2021.

    因為 Logic 著火了,而 Memory 在 2021 年也著火了。

  • So just the choice of a customer that, hey, don't ship me to A, but ship me to B because that's why I have more business.

    所以只是一個客戶的選擇,嘿,不要把我送到 A,而是把我送到 B,因為這就是我有更多業務的原因。

  • So this was the only reason, which actually means that we are seeing -- Memory is coming in, especially DRAM.

    所以這是唯一的原因,這實際上意味著我們正在看到——內存正在進入,尤其是 DRAM。

  • I mean we need to distinguish between DRAM and 3D NAND.

    我的意思是我們需要區分 DRAM 和 3D NAND。

  • We don't see as strong a recovery in 3D NAND.

    我們認為 3D NAND 的複蘇並不強勁。

  • You could argue because we're not that sensitive to it.

    你可以爭論,因為我們對它不那麼敏感。

  • But we simply don't see it.

    但我們根本看不到它。

  • We see it in DRAM stronger.

    我們在 DRAM 中看到它更強。

  • So this is really is a DRAM game in 2021, whereby 20% bid growth which is the expectation of today, simply not -- simply too much to be dealt with in the current installed base.

    所以這真的是 2021 年的 DRAM 遊戲,20% 的出價增長是今天的預期,根本不是——在當前的安裝基礎中無法應對。

  • That's why we see the orders coming in.

    這就是為什麼我們看到訂單進來的原因。

  • So yes, EUV will be used in DRAM, especially in 1 alpha.

    所以是的,EUV 將用於 DRAM,尤其是在 1 alpha 中。

  • But that is going to be limited, as we also mentioned last time.

    但這將是有限的,正如我們上次提到的那樣。

  • This is not going to be a node on node, let's say, full transition from DPV to EUV.

    這不會是節點上的節點,比如說,從 DPV 到 EUV 的完全過渡。

  • Don't forget that EUV has a -- doesn't have a maturity level of DPV.

    不要忘記 EUV 有一個 - 沒有 DPV 的成熟度級別。

  • So there will be a part of the wafer capacity will be allocated to EUV with a limited number of layers, which will grow over time.

    所以會有一部分晶圓產能分配給層數有限的 EUV,隨著時間的推移會增長。

  • We'll see the first application of it end of the year and moving into 2022, yes?

    我們將在今年年底看到它的第一個應用程序並進入 2022 年,是嗎?

  • So it's going to be a gradual adoption of EUV in DRAM.

    所以這將是在 DRAM 中逐步採用 EUV。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • I mean just following up on that DRAM and EUV.

    我的意思是跟進 DRAM 和 EUV。

  • How do you -- I mean you've had a very strong year last year in DPV and even this year looks very good in that technology.

    你好嗎——我的意思是你去年在 DPV 方面表現非常強勁,甚至今年在這項技術方面看起來也非常好。

  • I mean do you expect this -- even as EUV ramps up in Memory, et cetera, in DRAM, whenever it does, however slowly does or however fast, does this level of this baseline effect of DPV remain as part of your revenues?

    我的意思是你是否期望這一點——即使 EUV 在內存等方面的增長,在 DRAM 中,無論何時,無論速度有多慢或多快,DPV 的這種基線效應水平是否仍然是你收入的一部分?

  • And if we just add on EUV over time as we've seen over the last couple of years?

    如果我們只是像過去幾年所看到的那樣隨著時間的推移添加 EUV?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • I think the number of EUV layers will be relatively limited as compared to Logic.

    我認為與 Logic 相比,EUV 層的數量會相對有限。

  • So DPV will be the bulk of the layers and will stay the bulk of the layers, needing better lithographic performance and productivity.

    因此,DPV 將成為大部分層,並將保留大部分層,需要更好的光刻性能和生產力。

  • So this is why we have these extensive R&D programs still in DPV.

    所以這就是為什麼我們仍然在 DPV 中進行這些廣泛的研發計劃的原因。

  • I think DPV, in general, will be a bigger part of our business going forward than we anticipated a few years ago.

    我認為,總體而言,DPV 將比我們幾年前的預期成為我們未來業務中更大的一部分。

  • And it's not only Memory, it's like we said in the prepared remarks, it's very much also the mature markets whereby 90-nanometer, 65, 45, 28 are all growing in terms of wafer capacity, for the simple reason that there are applications or device for applications in that technology realm that are basically supporting in IoT solutions.

    而且不僅僅是內存,就像我們在準備好的評論中所說的那樣,90納米、65納米、45納米、28納米的晶圓產能都在增長的成熟市場,原因很簡單,有應用或該技術領域的應用設備,基本上支持物聯網解決方案。

  • And that's a trend that we underestimated a couple of years ago.

    這是我們幾年前低估的趨勢。

  • I think this has been a big driver for our DPV business.

    我認為這是我們 DPV 業務的一大推動力。

  • So it's not only Memory, where it will stay strong, it's very much also the broadening application space in DPV.

    因此,它不僅會保持強大的內存,而且在 DPV 中也將擴大應用空間。

  • Operator

    Operator

  • Next question is from Mr. Pierre Ferragu.

    下一個問題來自 Pierre Ferragu 先生。

  • Pierre C. Ferragu - Global Team Head of Technology Infrastructure

    Pierre C. Ferragu - Global Team Head of Technology Infrastructure

  • New Street Research.

    新街研究。

  • Peter, a question from you, maybe like long side forward-looking, but also looking back maybe 2, 3 years ago.

    彼得,你的一個問題,可能像長期的前瞻性,但也可能是 2、3 年前的回顧。

  • So TSMC is going to spend between $25 billion and $28 billion in CapEx this year.

    因此,台積電今年將在資本支出上花費 250 億至 280 億美元。

  • And they expect to grow revenues in the back of that in mid-teens, which means to me that that's a new normal for CapEx, like it's probably going to be a number that keeps growing from here.

    他們希望在十幾歲的時候增加收入,這對我來說意味著這是資本支出的新常態,就像它可能會是一個從這裡開始不斷增長的數字。

  • And I can't imagine a word in which that many leading-edge Logic chip get into data centers, into pieces, into firms without volumes at DRAM following.

    而且我無法想像有這麼多領先的邏輯芯片進入數據中心,進入碎片,進入在 DRAM 之後沒有數量的公司。

  • And so that feels very, very good in the long run for the industry.

    所以從長遠來看,這對這個行業來說感覺非常非常好。

  • And my question is first slide.

    我的問題是第一張幻燈片。

  • In 2025 -- so like 2, 3 years ago, you gave us a 2025 outlook with a fairly wide margin.

    2025 年——就像 2、3 年前一樣,你給了我們一個 2025 年的前景,而且差距相當大。

  • Did that kind of work in which TSMC spends so much in 2021?

    台積電在 2021 年花了這麼多錢的那種工作有嗎?

  • Was that part of your range?

    那是你範圍的一部分嗎?

  • Or does that exceed what you were looking and then secondly, in -- so -- and then yes, of course, so my question behind that is your 2025 like kind of guide, do you think there is today a chance we go above the higher range of that range?

    或者這是否超出了您的預期,其次,在 - 所以 - 然後是的,當然,所以我背後的問題是您的 2025 年類似指南,您認為今天我們有機會超越該範圍的更高範圍?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, you're basically asking me to give you a big review of our Capital Markets Day.

    好吧,您基本上是在要求我對我們的資本市場日進行一次重要的回顧。

  • But let's take it from a 30,000-feet level.

    但讓我們從 30,000 英尺的高度來看。

  • 3 years ago -- what you're basically asking is, Peter, how do you think about the industry today as compared to 3 years ago?

    3 年前——您基本上要問的是,彼得,與 3 年前相比,您如何看待當今的行業?

  • Pierre C. Ferragu - Global Team Head of Technology Infrastructure

    Pierre C. Ferragu - Global Team Head of Technology Infrastructure

  • Yes.

    是的。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • I'm more positive for all kinds of reasons because, like I said in answer to your previous question, I did not expect DPV to be as strong as it is today.

    出於各種原因,我更加積極,因為就像我在回答您之前的問題時所說的那樣,我沒想到 DPV 會像今天這樣強大。

  • And everything that we know, talking to our customers, will stay strong, yes?

    我們所知道的一切,與我們的客戶交談,都會保持強大,是嗎?

  • I think that is -- I could call it a surprise, but that's something that we didn't understand well.

    我認為那是 - 我可以稱之為驚喜,但這是我們不太了解的事情。

  • We understand that better today.

    我們今天更好地理解了這一點。

  • There's another thing that we assumed, as you know, when we talk about as a base case or a mid-market scenario, starting from the 16-nanometer node, we say basically every node that's 10% lower wafer capacity.

    如您所知,我們假設的另一件事是,當我們談論基本案例或中端市場場景時,從 16 納米節點開始,我們說基本上每個節點的晶圓容量都降低了 10%。

  • So it's minus 10%, minus 10%, minus 10%, so by the time that you're at 5, you've had almost 4 times minus 10% reduction of that wafer capacity needed for that node.

    所以它是負 10%、負 10%、負 10%,所以到 5 歲時,該節點所需的晶圓容量減少了近 4 倍 - 10%。

  • That seems very conservative at this moment in time if we listen to our customers.

    如果我們聽取客戶的意見,此時此刻這似乎非常保守。

  • Because as a customer like TSMC doesn't tell us that they're going to spend 25 billion to 28 billion, if they believe that their wafer capacity that they need for those nodes is going to be minus 10%, minus 10%, minus 10%.

    因為作為像台積電這樣的客戶並沒有告訴我們他們將花費 250 億到 280 億美元,如果他們認為這些節點所需的晶圓容量將是負 10%、負 10%、負10%。

  • So they obviously have now a different view as to the size of that market.

    因此,他們現在對該市場的規模顯然有不同的看法。

  • And I think we all understand drivers.

    我認為我們都了解司機。

  • Perhaps not all.

    也許不是全部。

  • But we have -- but most of them, yes?

    但我們有——但他們中的大多數,是嗎?

  • So I think, all in all, I think there is a different basis for our assessment, I think, of where we can be in 2025, 2026 or 2027, for that matter, yes?

    所以我認為,總而言之,我認為我們的評估有一個不同的基礎,我認為,我們可以在 2025 年、2026 年或 2027 年達到什麼程度,是嗎?

  • And I think it hasn't worsened.

    而且我認為它並沒有惡化。

  • I think it has gotten better.

    我認為它已經變得更好了。

  • Pierre C. Ferragu - Global Team Head of Technology Infrastructure

    Pierre C. Ferragu - Global Team Head of Technology Infrastructure

  • Definitely on the Logic side, right?

    絕對在邏輯方面,對吧?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • It's definitely on the Logic.

    這絕對是在邏輯上。

  • But also to Pierre's comment, Memory is a derivative of Logic and Logic we need in all these applications, Memory will follow.

    但對於 Pierre 的評論,內存是邏輯的衍生物,我們在所有這些應用中需要邏輯,內存將隨之而來。

  • So yes, do we have a more positive basic view as to the growth perspective of the industry?

    所以是的,我們對行業的增長前景有更積極的基本看法嗎?

  • Yes, I think we have, yes?

    是的,我想我們有,是嗎?

  • Operator

    Operator

  • Our next question is from Mr. Krish Sankar.

    我們的下一個問題來自 Krish Sankar 先生。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • It's Krish from Cowen.

    是來自 Cowen 的 Krish。

  • Congrats on the strong results.

    恭喜取得了不錯的成績。

  • First question I had for you, Peter.

    我問你的第一個問題,彼得。

  • I think you did answer this question in many ways.

    我想你確實從很多方面回答了這個問題。

  • So sorry for beating it up again.

    很抱歉再次打了它。

  • The upside to calendar '21 numbers, is there a way you can simplify and say, do you think it comes from either Logic or Memory?

    日曆 '21 數字的好處是,有沒有一種方法可以簡化並說,你認為它來自邏輯還是記憶?

  • Do you think it comes from DUV or EUV?

    你認為它來自 DUV 還是 EUV?

  • And then I had a follow-up.

    然後我進行了跟進。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes, I think it comes from DUV and Logic.

    是的,我認為它來自 DUV 和 Logic。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • That is a very short answer for Peter.

    這對彼得來說是一個非常簡短的答案。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • That is what it is.

    就是這樣。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • And then a follow-up for Roger.

    然後是羅傑的後續行動。

  • On the EUV service gross margins, 2 quarters ago in September, you turned positive.

    關於 EUV 服務毛利率,2 個季度前的 9 月,您轉為正數。

  • Is it fair to assume from here onward those margins should start and keep improving because all the 2019 tools coming off warranty keeps adding to the service gross margin?

    假設從這裡開始,這些利潤率應該開始並不斷提高,因為所有 2019 年保修期到期的工具都在不斷增加服務毛利率,這是否公平?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes, Krish, I think that's a fair assumption.

    是的,Krish,我認為這是一個合理的假設。

  • So we -- indeed, we did turn positive during Q3.

    所以我們 - 事實上,我們在第三季度確實轉為積極。

  • We were positive for the entire year.

    我們全年都是積極的。

  • And as I mentioned also on the video, I believe that within about a 4-year time frame, we should see the EUV service margin sort of approach the corporate gross margin.

    正如我在視頻中提到的那樣,我相信在大約 4 年的時間框架內,我們應該會看到 EUV 服務利潤率接近企業毛利率。

  • And that's the trajectory that we're on.

    這就是我們正在走的軌跡。

  • And it's a matter of, on the one hand, to your point, seeing tools getting out of warranty, seeing tools produce more and more wafers.

    一方面,就您而言,看到工具超出保修期,看到工俱生產越來越多的晶圓,這是一個問題。

  • So the throughput is going up.

    所以吞吐量正在上升。

  • And as a result of that, the number of wafers and therefore the paperware for -- going up for us.

    因此,晶圓的數量以及用於我們的紙製品的數量都會增加。

  • On the other hand, us being better able to control the cost.

    另一方面,我們能夠更好地控製成本。

  • So that's the trajectory that we're on.

    這就是我們正在走的軌跡。

  • That's the goal in 4 years' time, and we'll continue to develop towards that goal.

    這是 4 年後的目標,我們將繼續朝著這個目標發展。

  • Operator

    Operator

  • Next question is from Mr. Aleksander Peterc.

    下一個問題來自 Aleksander Peterc 先生。

  • Aleksander Peterc - Equity Analyst

    Aleksander Peterc - Equity Analyst

  • So this is Alex from Societe Generale.

    這是法國興業銀行的亞歷克斯。

  • I just have 2. One is on -- if you could comment a little bit on EUV average selling prices, which are quite firm over the past couple of quarters.

    我只有 2 個。一個是開著的——如果你能對 EUV 的平均售價發表一點評論,在過去的幾個季度裡,這個價格相當堅挺。

  • So should we expect this firmness going forward as well in the first half?

    那麼我們是否應該期待上半年也能保持這種堅定性呢?

  • I suppose you have seen an uptick in the second half with the new model shipping.

    我想你已經看到下半年新車型出貨量有所上升。

  • So if you could maybe comment on that a little bit.

    因此,如果您可以對此發表一點評論。

  • And then just secondly, the R&D to sales is a bit higher than your longer-term targets.

    其次,從研發到銷售的比例略高於您的長期目標。

  • So at what point in time do you think we should...

    那麼你認為我們應該在什麼時候...

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • What...

    什麼...

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • R&D to sales...

    研發到銷售...

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Oh, R&D to sales?

    哦,研發到銷售?

  • Okay.

    好的。

  • Aleksander Peterc - Equity Analyst

    Aleksander Peterc - Equity Analyst

  • Yes.

    是的。

  • Yes, R&D intensity, is that going to decline maybe once High-NA is shipping or even before?

    是的,研發強度是否會在 High-NA 出貨甚至更早之前下降?

  • Just to sort of know what time frame that could decline a little bit?

    只是想知道什麼時間範圍會有所下降?

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Yes.

    是的。

  • That's fine.

    沒關係。

  • So you are right.

    所以你是對的。

  • I mean the ASP this quarter, but also in Q3 was a little higher than what you typically have in your models.

    我的意思是本季度的 ASP,而且在第三季度也比您通常在您的模型中擁有的要高一點。

  • But it is, as we also explained on Q3, to a very -- it is really driven by configuration.

    但是,正如我們在第三季度所解釋的那樣,它實際上是由配置驅動的。

  • So what options are on the tool already when they leave the factory and specific customer requirements.

    那麼當他們離開工廠和特定的客戶要求時,工具上有哪些選項。

  • So that's what drives it.

    所以這就是它的驅動力。

  • And the composition that we have for the EUV sales, both in Q3 and Q4 were kind of the richer configurations as a result of which you saw the higher number in there.

    我們在第三季度和第四季度的 EUV 銷售構成是一種更豐富的配置,因此你看到那裡的數字更高。

  • On go-forward basis for the 3600D, I think we mentioned there that you should look at mid-teens in terms of increase over the ASP for -- in comparison to the C model.

    在 3600D 的前進基礎上,我認為我們在那裡提到,與 C 模型相比,您應該在 ASP 的增長方面查看十幾歲的中期。

  • In terms of your question on R&D, you are right.

    關於你關於研發的問題,你是對的。

  • I think R&D as a percentage of sales is comparable to where we were last year, actually, so it's high 15s, approaching 16.

    我認為研發佔銷售額的百分比實際上與我們去年的水平相當,所以它高達 15 分,接近 16 分。

  • It's a little lower actually than what we had in 2019.

    它實際上比我們在 2019 年的水平要低一些。

  • As Peter and I already mentioned in the -- in earlier comments, there is so much opportunity that we see in the road map, and this is both low-Na, High-NA.

    正如彼得和我在之前的評論中已經提到的那樣,我們在路線圖中看到了很多機會,這既是低鈉,也是高鈉。

  • But also and Peter made reference to that, a number of developments that we're having in terms of DPV and the throughput, imaging and overlay potential that we still see there.

    但彼得也提到了這一點,我們在 DPV 以及我們仍然看到的吞吐量、成像和覆蓋潛力方面取得了一些進展。

  • There's a lot of potential that we see.

    我們看到了很多潛力。

  • And that's why we keep the -- keep it a little bit higher than the 13% than some of you might have in your mind.

    這就是為什麼我們保持 - 讓它比你們中的一些人可能想到的 13% 高一點。

  • That is still clearly the goal for 2025.

    這仍然是 2025 年的目標。

  • So we're working our way towards that.

    所以我們正在朝著這個方向努力。

  • But at this stage, we believe the company's value has increased by spending this amount.

    但在現階段,我們認為公司的價值因花費了這筆錢而增加了。

  • So I think the approaching 16% that we saw for this year and maybe also for next year would still be appropriate.

    所以我認為我們今年看到的接近 16% 的比例,也許明年也是合適的。

  • But then from that point onwards, gradually model it towards the 13% for 2025.

    但從那時起,逐漸將其建模為 2025 年的 13%。

  • I think that's the way I would do it.

    我想我會這樣做。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • And you also need to remember that we do these R&D investments, particularly for DPV, where we have underestimated the size of that market and also the need for DPV tools, bringing them on to the NXT platform, which is quite a significant R&D program.

    您還需要記住,我們進行這些研發投資,特別是對於 DPV,我們低估了該市場的規模以及對 DPV 工具的需求,將它們帶到 NXT 平台上,這是一個非常重要的研發計劃。

  • But once we do that, and we see a significant increase in DPV demand, which we think will last.

    但是一旦我們這樣做了,我們就會看到 DPV 需求顯著增加,我們認為這將持續下去。

  • Then we give our customers the capability to actually have a very productive tool with a lower cost per wafer competitiveness, yes, which, of course, drives a higher sales price.

    然後,我們讓我們的客戶能夠真正擁有一個非常高效的工具,並且每片晶圓的成本更低,具有競爭力,是的,這當然會推動更高的銷售價格。

  • So these are investments we -- and actually going back to an earlier question on the 2025 model, where we're doing things today because we see upside to that model and especially in DPV, for instance, and to give you 1 example, yes?

    所以這些是我們的投資——實際上回到了關於 2025 模型的早期問題,我們今天正在做的事情是因為我們看到了該模型的優勢,尤其是在 DPV 方面,舉個例子,是的?

  • Operator

    Operator

  • Next question is from Mr. David Mulholland.

    下一個問題來自 David Mulholland 先生。

  • David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

    David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

  • It's David from UBS.

    是瑞銀的大衛。

  • I just wanted to come back on the change that happened in terms of your plans and your build through the supply chain for this year or for 2021.

    我只是想回到今年或 2021 年在您的計劃和通過供應鏈構建方面發生的變化。

  • Because my understanding previously was you were willing to essentially bear the cost on your own balance sheet if demand didn't end up reaching the 45 to 50.

    因為我之前的理解是,如果需求最終沒有達到 45 到 50,你願意在自己的資產負債表上承擔成本。

  • So I'd love to understand what changed because it seems like that's potentially not constraining the outlook for this year.

    所以我很想了解發生了什麼變化,因為這似乎不會限制今年的前景。

  • And then secondly, on cash flow, very strong quarter, obviously, in cash generation in Q4.

    其次,在現金流方面,非常強勁的季度,顯然是第四季度的現金產生。

  • Was there any -- was that all just pure cash coming in the door from customers?

    有沒有——那隻是客戶從門口進來的純現金嗎?

  • Or was there any seller receivables like we saw a few years ago?

    或者有沒有像我們幾年前看到的那樣的賣方應收賬款?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Well, to answer the first question, David.

    好吧,回答第一個問題,大衛。

  • You might go back to the conference call script, but we never said that we were going to commit ourselves in the supply chain to 45 to 50 units, we -- actually, we said we were not going to do that.

    您可能會回到電話會議腳本,但我們從未說過我們將在供應鏈中承諾 45 到 50 個單位,我們 - 實際上,我們說過我們不會這樣做。

  • We said, we are taking as a bit of a buffer above what our customers at that time, the end of Q3, said that they would need for 2021, which is a lower number than we're currently planning to ship, yes?

    我們說,我們將比我們的客戶當時(第三季度末)說的他們在 2021 年需要的數量多一點緩衝,這比我們目前計劃的出貨量要低,是嗎?

  • So we did take that buffer into consideration.

    所以我們確實考慮了那個緩衝區。

  • Yes, can we squeeze out another 1 or 2?

    是的,我們可以再擠出1個或2個嗎?

  • Probably.

    大概。

  • But we never indicated or we never meant to indicate that we would cover our customers for our full capacity.

    但我們從未表示或我們從未打算表示我們將為我們的客戶提供全部能力。

  • That's not what we said.

    那不是我們說的。

  • And this is not what we did.

    這不是我們所做的。

  • So what we actually -- we're probably ending up somewhere in between, yes?

    所以我們實際上 - 我們可能最終介於兩者之間,是嗎?

  • Which is good because if we wouldn't have done that, then there would not be a 30% increase in our DPV -- or sorry, in our EUV planned revenue for this year.

    這很好,因為如果我們不這樣做,那麼我們的 DPV 就不會增加 30%——或者對不起,我們今年的 EUV 計劃收入。

  • We are able to do that because we built this buffer capacity in the supply chain, but not everything.

    我們之所以能夠做到這一點,是因為我們在供應鏈中建立了這種緩衝能力,但不是全部。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • David, on the free cash flow, as we mentioned on the previous call, this is the year where you see 2 effects in that regard.

    大衛,關於自由現金流,正如我們在上一次電話會議中提到的那樣,今年你在這方面看到了 2 個影響。

  • So on the one hand, you see the effect of extended payments that some customers were entitled to, based on all the contracts.

    因此,一方面,您會根據所有合同看到一些客戶有權獲得的延期付款的影響。

  • And you see the effect under the newer contracts of down payments that are coming in.

    你會看到新的首付合同的影響。

  • And they balance out nicely.

    他們很好地平衡了。

  • Although in Q4, we saw most of the effect of the down payments.

    儘管在第四季度,我們看到了首付的大部分影響。

  • And in the earlier quarters, you saw the effect of the standard payments.

    在前幾個季度,您看到了標準付款的效果。

  • But for the year, it's nicely equaled out.

    但就這一年而言,它很好地平衡了。

  • So that's in fact what you see.

    所以這實際上就是你所看到的。

  • So very, very strong free cash flow in Q4, making up for some of the things in the previous quarters.

    第四季度的自由現金流非常非常強勁,彌補了前幾個季度的一些情況。

  • To your question, is there an anomaly in there?

    對於你的問題,那裡有異常嗎?

  • Not really an anomaly, just as we had it in previous year, there was some customers choose a factoring solution for some of the payments and some of the down payments, but that -- on their request, but that's their discretion and their request doesn't impact us whatsoever.

    並不是真正的異常,就像我們在去年遇到的那樣,有一些客戶為一些付款和一些首付選擇保理解決方案,但是 - 根據他們的要求,但這是他們的自由裁量權,他們的要求沒有不會影響我們。

  • But that hasn't really changed, I would say, as a policy from last year.

    但這並沒有真正改變,我想說,作為去年的一項政策。

  • And I think the anomaly, if you like, that I just talked about, I think it's really something that we had in 2020.

    而且我認為我剛才談到的異常情況,如果你願意的話,我認為這確實是我們在 2020 年遇到的情況。

  • For 2021, I would expect a more regular buildup of the free cash flow also over the quarters.

    對於 2021 年,我預計這些季度的自由現金流也會更規律地增加。

  • Operator

    Operator

  • Next question is from Janardan Menon.

    下一個問題來自 Janardan Menon。

  • Janardan Nedyam Menon - Technology Analyst

    Janardan Nedyam Menon - Technology Analyst

  • It's Janardan from Liberum.

    是 Liberum 的 Janardan。

  • I just wanted to go to your gross margin guidance where you said that you are likely to be hitting the high end of your 48% to 50% range.

    我只是想看看你的毛利率指導,你說你可能會達到 48% 到 50% 範圍的高端。

  • But since you're doing a midpoint of 50.5% in Q1, and you will have the 3600D shipping in the second half of the year, which is a corporate average gross margin, which presumably is close to 50%.

    但是由於你在第一季度的中點為 50.5%,而你將在下半年擁有 3600D 的出貨量,這是企業平均毛利率,大概接近 50%。

  • I'm just wondering what is holding you back from getting to a north of 50% margin for the full year.

    我只是想知道是什麼阻礙了你全年的利潤率達到 50% 以上。

  • Is there something specifically in Q2, which could lower that?

    第二季度有什麼特別的東西可以降低嗎?

  • And also, I would put it in the mix, presumably your DUV shipments are going to be quite strong this year, which should also have a positive effect on that.

    而且,我會把它放在一起,大概你今年的 DUV 出貨量會非常強勁,這也應該對此產生積極影響。

  • Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

    Roger J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Well, first off, we shouldn't forget that there is a very strong improvement over gross margin if you compare it year-on-year, right?

    嗯,首先,我們不應該忘記,如果你與去年同期相比,毛利率有很大的改善,對吧?

  • So let's not forget that.

    所以我們不要忘記這一點。

  • As I mentioned to you, of the 48.6%, you should start by deducting 1%, you get to 47.6%.

    正如我向你提到的,在 48.6% 中,你應該從扣除 1% 開始,你會得到 47.6%。

  • That's really the starting point for the year.

    這真的是今年的起點。

  • So even if I say that we're approaching the 50%, I think that's a very strong improvement over last year.

    因此,即使我說我們正在接近 50%,我認為這比去年有了很大的進步。

  • If you then say what is going to be different in the next quarters?

    如果你說接下來的幾個季度會有什麼不同?

  • To a very large extent, it's mix, right?

    在很大程度上,它是混合的,對吧?

  • So in Q1, we have quite some immersion sales.

    所以在第一季度,我們有相當多的沉浸式銷售。

  • And as you know, immersion has a very strong gross margin.

    如您所知,沉浸式的毛利率非常高。

  • We have more immersion sales in there, relatively speaking.

    相對而言,我們在那裡有更多的沉浸式銷售。

  • We have relatively low EUV sales in there.

    我們在那裡的 EUV 銷售額相對較低。

  • So while you're right that the gross margin per tool in the second half on the 3600D is going to be a bit bigger, I think it's also fair to say that in the second half we'll see more EUV sales relatively speaking than you have in Q1.

    因此,儘管您說 3600D 下半年每個工具的毛利率會更高一點是對的,但我認為也可以公平地說,下半年相對而言我們會看到比您更多的 EUV 銷售Q1 有。

  • So in that way, it kind of creates an equilibrium there.

    所以通過這種方式,它在那裡創造了一種平衡。

  • I think it's also fair to say, when I say approaching the upper limit, approaching the 50%.

    我認為也可以公平地說,當我說接近上限時,接近 50%。

  • When Peter talks about potential, the potential over the 15.7, I think it is fair to say that the potential that we see there, as Peter mentioned, is in DPV and in Logic.

    當彼得談到潛力,超過 15.7 的潛力時,我認為可以公平地說,正如彼得所提到的,我們在那裡看到的潛力在於 DPV 和邏輯。

  • So that would lead to a further uplift of the gross margin.

    因此,這將導致毛利率進一步上升。

  • So it's 50% that I was -- I think we're approaching is for the 15.7 indication that we gave or expectation that we articulated in terms of sales.

    所以我是 50%——我認為我們正在接近 15.7 的指示,即我們在銷售方面給出的或期望的指示。

  • If we're going to see sales uptick, I think there should also be an uptick in the gross margin percentage.

    如果我們要看到銷售額上升,我認為毛利率也應該上升。

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • Janardan Nedyam Menon - Technology Analyst

    Janardan Nedyam Menon - Technology Analyst

  • Understood.

    明白了。

  • Can I just -- got a brief follow-up on that.

    我可以 - 對此進行簡短的跟進。

  • Just on the potential upside for this year that you talked about.

    就你談到的今年的潛在上行空間而言。

  • You said it's all coming from, if it does come, it's DUV and from Logic.

    你說這一切都來自,如果它真的來了,它是 DUV 和來自 Logic。

  • Is that entirely the China sort of geopolitical upside that you're talking about?

    這完全是你所說的中國的地緣政治優勢嗎?

  • Or is there potential upside outside of that?

    或者除此之外還有潛在的上升空間嗎?

  • And normally, when Memory prices are going up, in past cycles, we've seen that the memory vendor, the DRAM vendors tend to increase their orders as well.

    通常,當內存價格上漲時,在過去的周期中,我們已經看到內存供應商、DRAM 供應商也傾向於增加訂單。

  • If they were to come out with additional DUV orders, would you have the capacity to meet that demand?

    如果他們有額外的 DUV 訂單,你有能力滿足這個需求嗎?

  • Do you have sufficient buffer there, if that were to happen?

    如果發生這種情況,你那裡有足夠的緩衝嗎?

  • Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

    Peter T. F. M. Wennink - Chairman of the Management Board, President & CEO

  • Yes.

    是的。

  • I think we would be able to deal with some of the additional demand in Memory, and you are right.

    我認為我們將能夠處理內存中的一些額外需求,你是對的。

  • I mean you've been around, Janardan, even longer than I am.

    我的意思是你來這裡的時間,賈納丹,比我還久。

  • So I mean you know how that goes.

    所以我的意思是你知道這是怎麼回事。

  • So yes, we would be able to do that.

    所以是的,我們將能夠做到這一點。

  • How much, it would be difficult at this moment in time, we're January '20, we're talking about, and we're trying to guess the entire year.

    多少,在這個時候很難,我們是 20 年 1 月,我們正在談論,我們試圖猜測全年。

  • So yes, I think we'll be able to do that.

    所以是的,我認為我們能夠做到這一點。

  • The upside that we were referring to is DPV Logic.

    我們提到的好處是 DPV Logic。

  • That is where the very large part is upside in China.

    這就是中國很大一部分優勢所在。

  • Which like I said, if we can ship under the current rules, then that upside would materialize, yes?

    就像我說的那樣,如果我們可以按照現行規則發貨,那麼這種優勢就會實現,是嗎?

  • And which would have the impact on the top line and on the gross margin, as Roger mentioned.

    正如羅傑所說,這將對收入和毛利率產生影響。

  • So yes, I think we will be able to do that.

    所以是的,我認為我們將能夠做到這一點。

  • So there's some upside on Memory if they would come.

    因此,如果他們來的話,Memory 會有一些好處。

  • And it would be DPV, to your point.

    就您而言,這將是 DPV。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • All right.

    好的。

  • Okay.

    好的。

  • We have run out of time.

    我們已經沒有時間了。

  • So if you were unable to get through on this call and still have questions, please feel free to contact the ASML investor relations department with your question.

    因此,如果您無法接通此電話並且仍有疑問,請隨時聯繫 ASML 投資者關係部門提出您的問題。

  • Before we sign off, I'd like to remind you that -- remind everyone that we are targeting to host our Investor Day on June 23 this year in London.

    在我們簽字之前,我想提醒你——提醒大家,我們的目標是在今年 6 月 23 日在倫敦舉辦我們的投資者日。

  • Now we hope we can have a face-to-face meeting.

    現在我們希望我們可以進行面對面的會議。

  • But of course, this will depend on the progress against the virus.

    但是,當然,這將取決於抗擊病毒的進展。

  • We will provide more details in due time, and we hope you'll be able to join us.

    我們將在適當的時候提供更多詳細信息,希望您能夠加入我們。

  • Now on behalf of ASML, I would like to thank you all for joining us today.

    現在我代表 ASML,感謝大家今天加入我們。

  • Operator, if you could formally conclude the call, I would appreciate it.

    接線員,如果您能正式結束通話,我將不勝感激。

  • Thank you.

    謝謝你。

  • Operator

    Operator

  • Thank you, sir.

    謝謝你,先生。

  • This concludes the ASML 2020 Fourth Quarter and Full Year Financial Results Conference Call.

    ASML 2020 年第四季度和全年財務業績電話會議到此結束。

  • Thank you for participating.

    感謝您的參與。

  • You may now disconnect your lines.

    您現在可以斷開線路。