艾司摩爾 (ASML) 2015 Q4 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Ladies and gentlemen, thank you standing by, and welcome to ASML 2015 fourth-quarter and annual results conference call on January 20, 2016.

    女士們,先生們,感謝您的支持,歡迎參加 2016 年 1 月 20 日舉行的 ASML 2015 年第四季度和年度業績電話會議。

  • (Operator Instructions).

    (操作員說明)。

  • I would now like to turn the conference call over to Mr. Craig DeYoung.

    我現在想將電話會議轉交給 Craig DeYoung 先生。

  • Please go ahead, sir.

    請繼續,先生。

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Thank you, Aaron, and good morning and good afternoon, ladies and gentlemen.

    謝謝亞倫,女士們先生們,早上好,下午好。

  • This is Craig DeYoung, Vice President of Investor Relations at ASML.

    我是 ASML 投資者關係副總裁 Craig DeYoung。

  • Joining me today from our headquarters here in Veldhoven, the Netherlands, is Mr. Peter Wennink, our CEO; and Wolfgang Nickl, ASML's CFO.

    今天從我們位於荷蘭 Veldhoven 的總部與我會面的是我們的首席執行官 Peter Wennink 先生;和 ASML 的首席財務官 Wolfgang Nickl。

  • The subject of today's call is ASML's 2015 fourth-quarter and annual results.

    今天電話會議的主題是ASML 2015年第四季度及全年業績。

  • Before we start, I'd like to take a brief moment to address some questions from previous calls about our Q&A queue.

    在我們開始之前,我想花點時間回答一下之前電話中關於我們的問答隊列的一些問題。

  • The process is -- you will be advised that the Q&A starts upon the operator's instructions at the opening of the call, and not before.

    這個過程是——你會被告知,問答是在通話開始時根據接線員的指示開始的,而不是之前。

  • Therefore, there's really no value in calling in too long before the call starts in an attempt to get into the queue.

    因此,在嘗試進入隊列的呼叫開始之前太長時間呼叫實際上沒有任何價值。

  • And as the operator mentioned, questions will be taken in the order that they are received.

    正如接線員提到的,問題將按照收到的順序進行處理。

  • The length of the call will be 60 minutes.

    通話時長為 60 分鐘。

  • The call will be broadcast, and is being broadcast live over the Internet at ASML.com, and a replay of the call will be available on our website for approximately 90 days.

    該電話會議將進行廣播,並在 ASML.com 上通過互聯網進行現場直播,我們的網站上將提供大約 90 天的電話會議重播。

  • Lastly, before we begin, I'd like to caution listeners that comments made by management during the conference call will include forward-looking statements within the meanings of the federal securities laws.

    最後,在我們開始之前,我想提醒聽眾,管理層在電話會議期間發表的評論將包括聯邦證券法含義內的前瞻性陳述。

  • These forward-looking statements involve material risks and uncertainties.

    這些前瞻性陳述涉及重大風險和不確定性。

  • For a discussion of these risk factors, I encourage you to review the Safe Harbor statement today, contained in today's press release and presentation found on our website at ASML.com, and in ASML's annual report on Form 20-F and other documents, as filed with the Securities and Exchange Commission.

    為了討論這些風險因素,我鼓勵您閱讀今天的安全港聲明,該聲明包含在今天的新聞稿和我們網站 ASML.com 上的演示文稿中,以及 ASML 的 20-F 表格年度報告和其他文件中,因為向證券交易委員會備案。

  • With that, I'd like to turn the call over to Peter Wennink for a brief introduction.

    有了這個,我想把電話轉給 Peter Wennink 做一個簡短的介紹。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Good morning, good afternoon, ladies and gentlemen, and thank you for joining us for our fourth-quarter and annual 2015 results conference call.

    女士們先生們,早上好,下午好,感謝您加入我們的 2015 年第四季度和年度業績電話會議。

  • Before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and some commentary on the recent quarter, and provide our review of the coming quarters.

    在我們開始問答環節之前,沃爾夫岡和我想對最近一個季度進行概述和一些評論,並提供我們對未來幾個季度的回顧。

  • Wolfgang will start with a review of the Q4 financial performance with added comments on our short-term outlook, and I will complete the introduction with some further comments on the current channel business environment and on our future business outlook.

    Wolfgang 將首先回顧第 4 季度的財務業績,並對我們的短期前景發表評論,我將通過對當前渠道業務環境和未來業務前景的進一步評論來完成介紹。

  • So, Wolfgang, if you will?

    那麼,Wolfgang,你願意嗎?

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • Thank you, Peter, and welcome, everyone.

    謝謝你,彼得,歡迎大家。

  • For Q4, our sales came in at EUR1.43 billion.

    第四季度,我們的銷售額為 14.3 億歐元。

  • This included system sales of EUR881 million, of which memory represented 44% and logic represented 56%.

    這包括 8.81 億歐元的系統銷售額,其中內存佔 44%,邏輯佔 56%。

  • Service and field option sales came in strong at EUR553 million.

    服務和現場選項銷售額強勁增長,達到 5.53 億歐元。

  • This part of our businesses grows, continues to strengthened by strong demand for holistic lithography options, high-value upgrades, and a growing installed base.

    由於對整體光刻選項、高價值升級和不斷增長的安裝基礎的強勁需求,我們的這部分業務不斷發展壯大。

  • Our gross margin for the quarter came in at 46%, slightly above the guidance.

    我們本季度的毛利率為 46%,略高於指引。

  • R&D expenses came in at EUR273 million, and SG&A expenses came in at EUR90 million, essentially as we guided.

    研發費用為 2.73 億歐元,SG&A 費用為 9000 萬歐元,基本符合我們的指導。

  • For the full year, our net sales reached a new record of EUR6.3 billion, which is up 7% from the prior year, and includes over EUR2 billion for field options and services.

    全年,我們的淨銷售額達到 63 億歐元的新紀錄,比上年增長 7%,其中包括超過 20 億歐元的現場選項和服務。

  • Gross margin for 2015 was 46.1%, up from 44.3% in 2014.

    2015 年的毛利率為 46.1%,高於 2014 年的 44.3%。

  • Our basic earnings per share for 2015 were EUR3.22, up 18% year-over-year.

    我們 2015 年的基本每股收益為 3.22 歐元,同比增長 18%。

  • Turning to the balance sheet, quarter-over-quarter cash, cash equivalents, and short-term investments grew from EUR2.68 billion to EUR3.41 billion, driven by strong free cash flow which was impacted by a significant amount of customer prepayments on orders received.

    轉向資產負債表,季度環比現金、現金等價物和短期投資從 26.8 億歐元增長到 34.1 億歐元,這是受強大的自由現金流的推動,而自由現金流受到大量客戶預付款項的影響收到的訂單。

  • In Q4, we repurchased shares worth EUR141 million, bringing the total amount for repurchased shares in 2015 to EUR565 million.

    第四季度,我們回購了價值 1.41 億歐元的股份,使 2015 年的回購股份總額達到 5.65 億歐元。

  • Regarding the order book, Q4 bookings came in at EUR1.2 billion, 31% above our Q3 bookings.

    關於訂單,第四季度的訂單為 12 億歐元,比我們第三季度的訂單高出 31%。

  • Strength in memory bookings continued to be notable, with a significant growth in our foundry bookings, leading to a strong and nicely balanced backlog across all industry sectors of approximately EUR3.2 billion.

    內存訂單的強勁勢頭繼續顯著,我們的晶圓代工廠訂單顯著增長,導致所有行業部門的積壓訂單強勁且均衡,約為 32 億歐元。

  • What that, I would like to turn to our expectations and guidance for the first quarter of 2016.

    那麼,我想談談我們對 2016 年第一季度的預期和指導。

  • We expect continued healthy memory shipments, supported by a strong backlog.

    我們預計在大量積壓訂單的支持下,內存出貨量將繼續保持健康。

  • Our servers and field option sales will be around the EUR500 million mark again.

    我們的服務器和現場選項銷售額將再次達到 5 億歐元左右。

  • We expect relatively modest logic shipments in the first quarter of 2016, leading us to guide Q1 revenue at approximately EUR1.3 billion.

    我們預計 2016 年第一季度邏輯出貨量相對溫和,因此我們將第一季度收入指引為約 13 億歐元。

  • As indicated at this time last quarter, we do, however, expect our logic customers to take shipments in Q2 which will start the ramp of 10 nanometer, and as a result we expect Q2 sales to increase significantly from Q1.

    正如上個季度的這個時候所指出的,但是,我們確實希望我們的邏輯客戶在第二季度開始出貨,這將開始 10 納米的增長,因此我們預計第二季度的銷售額將比第一季度大幅增長。

  • Based upon expected customer and product mix, a lower in sales volume forecast, and lower field option sales, we expect gross margin for Q1 to come in at around 42%.

    基於預期的客戶和產品組合、較低的銷量預測以及較低的現場選項銷售,我們預計第一季度的毛利率約為 42%。

  • R&D expenses for the first quarter will be about EUR275 million, and SG&A is expected to come in about EUR90 million, both roughly the same as the previous quarter.

    第一季度的研發費用約為 2.75 億歐元,SG&A 預計約為 9000 萬歐元,與上一季度大致持平。

  • Our annualized tax rate for 2016 is expected to increase to around 13%, based upon a change in tax rules that transfer some tax benefits into R&D credits.

    我們 2016 年的年化稅率預計將增加到 13% 左右,這是基於將一些稅收優惠轉為研發抵免的稅法變化。

  • Peter will talk more about our 2015 EUV accomplishments and 2016 key performance targets shortly.

    Peter 很快將詳細介紹我們 2015 年的 EUV 成就和 2016 年的關鍵績效目標。

  • But I would like to make a few points regarding 2016 EUV shipments, and explain a bit further the current and expected situation related to EUV revenue recognition.

    但我想就 2016 年 EUV 出貨量提出幾點意見,並進一步解釋與 EUV 收入確認相關的當前和預期情況。

  • We completed three EUV shipments in 2015, and started the shipment of a fourth system before year-end.

    我們在 2015 年完成了三個 EUV 出貨,並在年底前開始出貨第四個系統。

  • One of the three systems was recognized in revenue during 2015.

    三個系統之一在 2015 年確認為收入。

  • The other two systems that shipped, and the one system where shipment was started, should lead to a revenue of about EUR110 million in the middle of 2016, with the balance booked in 2017.

    另外兩個出貨的系統,以及一個開始出貨的系統,應該會在 2016 年年中帶來約 1.1 億歐元的收入,餘額在 2017 年入賬。

  • For 2016, we expect to ship between six and seven EUV systems.

    2016 年,我們預計將出貨 6 到 7 個 EUV 系統。

  • The 2016 shipments will be a combination of NXE:3300s, 3350s, and 3400s, going to both logic and memory customers.

    2016 年的出貨量將是 NXE:3300、3350 和 3400 的組合,面向邏輯和內存客戶。

  • As a reminder, we will continue to guide expected revenue timing on additional EUV systems as they ship.

    提醒一下,我們將繼續指導其他 EUV 系統在發貨時的預期收入時間。

  • And, finally, but certainly not with significant importance, ASML paid EUR302 million in dividends in 2015, and we purchased 6.3 million of our own shares for EUR565 million, providing a total cash return to shareholders of EUR867 million during the year.

    最後,但肯定不是很重要的是,ASML 在 2015 年支付了 3.02 億歐元的股息,我們以 5.65 億歐元購買了 630 萬股我們自己的股票,全年為股東提供了 8.67 億歐元的總現金回報。

  • In 2016, we are proposing to our Annual General Meeting of Shareholders on April 29 to increase our dividend by 50% to a level of EUR1.05 per ordinary share.

    2016 年,我們向 4 月 29 日召開的年度股東大會提議將股息提高 50% 至每股普通股 1.05 歐元的水平。

  • Today, we have also announced the plan for an additional EUR1 billion of share repurchases over 2016 and 2017, on top of the remaining EUR500 million from our prior program.

    今天,我們還宣布了在 2016 年和 2017 年追加 10 億歐元股票回購的計劃,這是我們先前計劃剩餘的 5 億歐元的基礎。

  • With that, I would like to turn the call back over to Peter.

    有了這個,我想把電話轉回給彼得。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Thank you, Wolfgang.

    謝謝你,沃爾夫岡。

  • As Wolfgang highlighted, we concluded a financially very satisfying year.

    正如 Wolfgang 強調的那樣,我們結束了財務上非常令人滿意的一年。

  • Expectations for the first-quarter sales are approximately EUR1.3 billion, reflecting continued shipments for 28, 16, 14 nanometer logic capacity additions, albeit at a relatively low level, and shipments to memory customers consisting of a mix of advanced and more mature system types.

    對第一季度銷售額的預期約為 13 億歐元,反映了 28、16、14 納米邏輯容量增加的持續出貨量(儘管水平相對較低),以及向包含先進和更成熟系統組合的存儲器客戶的出貨量類型。

  • While first-quarter sales are expected to be relatively moderate, we clearly see, as Wolfgang said, a significant increase in combined system and service sales in the second quarter, largely driven by system shipments for the initial ramp of advanced 10 nanometer production processes at our logic customers.

    雖然第一季度的銷售額預計相對溫和,但正如 Wolfgang 所說,我們清楚地看到,第二季度系統和服務的綜合銷售額顯著增加,這主要是由於先進 10 納米生產工藝的初始爬升的系統出貨量在我們的邏輯客戶。

  • While it is still a bit too early to say anything quantitatively about 2016, we do see trends and developments that we believe are worthwhile mentioning.

    雖然現在對 2016 年進行定量分析還為時過早,但我們確實看到了我們認為值得一提的趨勢和發展。

  • In memory, as evidenced by the fourth-quarter bookings and our current backlog strength, our customers have indicated that their system demands will continue throughout the first half of 2016 at levels roughly equivalent to those of Q4.

    在記憶中,正如第四季度的預訂和我們目前的積壓實力所證明的那樣,我們的客戶表示他們的系統需求將在整個 2016 年上半年繼續保持在與第四季度大致相當的水平。

  • Although we expect that two DRAM fabs will continue to install some additional tools largely meant to support the next DRAM node, we also believe that 2016 shipments to this application will be down versus a strong 2015.

    雖然我們預計兩家 DRAM 晶圓廠將繼續安裝一些主要用於支持下一個 DRAM 節點的額外工具,但我們也相信 2016 年該應用的出貨量將低於強勁的 2015 年。

  • On NAND, we believe that the capacity expansions will be focused on 3D NAND applications.

    在 NAND 方面,我們認為產能擴張將集中在 3D NAND 應用上。

  • With the announcement of the conversion of a Chinese fab to 3D NAND that is now largely supported in our current backlog, we expect a flattish level of NAND systems revenues versus 2015.

    隨著中國晶圓廠向 3D NAND 轉換的宣布,我們目前的積壓訂單得到了很大程度的支持,我們預計 NAND 系統收入與 2015 年持平。

  • One additional memory development that appears to be worth following is the introduction of the XPoint architecture.

    另一個似乎值得關注的內存開發是 XPoint 架構的引入。

  • While the full opportunity extent of this new memory architecture is still under evaluation, its potential seems significant and could, therefore, become important to our business as the advanced processes anticipated in this application are quite litho-intensive.

    雖然這種新內存架構的全部機會範圍仍在評估中,但它的潛力似乎很大,因此可能對我們的業務變得重要,因為該應用程序中預期的先進工藝非常光刻密集。

  • As for logic, it has now become clear to us that the introduction of the advanced 10 nanometer node ramp is progressing well, hence the continued and clear customer commitment to ramp this node starting in Q2 2016.

    至於邏輯,我們現在已經清楚,先進的 10 納米節點斜坡的引入進展順利,因此客戶持續明確承諾從 2016 年第二季度開始斜坡節點。

  • The speed and initial size of this ramp can be explained by the value proposition provided by the significant shrink of this node versus the 16 and 14 nanometer node.

    這個斜坡的速度和初始尺寸可以用這個節點相對於 16 和 14 納米節點的顯著縮小所提供的價值主張來解釋。

  • The ultimate spend levels for logic in 2016 will depend, amongst other things, about the level of end demand, and the rate at which our customers will be able to execute their ramp; and it's, therefore, too early to accurately predict this today.

    2016 年邏輯的最終支出水平將取決於最終需求的水平,以及我們的客戶能夠執行他們的爬坡的速度;因此,現在準確預測這一點還為時過早。

  • For field options and services, we see continued strength in 2016, and this should show growth, previously estimated to be in the range of 10%.

    對於現場選項和服務,我們看到 2016 年持續強勁,這應該會出現增長,之前估計在 10% 的範圍內。

  • On the product side, ASML continues to focus R&D spend on lithography tools that are essential to ramp all of the current and advanced processes.

    在產品方面,ASML 繼續將研發支出集中在光刻工具上,這些工具對於提升所有當前和先進工藝至關重要。

  • With the growing litho challenges of complex and costly multipass patterning, our recently launched TWINSCAN NXT:1980 deep UV immersion scanner, with significant improvements in all key performance metrics, started volume shipment last quarter.

    隨著複雜且昂貴的多通道圖案化對光刻技術的挑戰越來越大,我們最近推出的 TWINSCAN NXT:1980 深紫外浸入式掃描儀在所有關鍵性能指標上都有顯著改進,並於上個季度開始批量發貨。

  • And now with its widespread acceptance, it is ramping at a rate greater than any other advanced system in our history.

    現在,隨著它的廣泛接受,它的發展速度比我們歷史上任何其他先進系統都要快。

  • Our holistic lithography products continue to gain acceptance at leading-edge customers, who are using our full suite of immersion process window enhancements and process control solutions to optimize yields at the most advanced product nodes.

    我們的整體光刻產品繼續獲得領先客戶的認可,他們正在使用我們全套的浸沒工藝窗口增強和工藝控制解決方案來優化最先進產品節點的產量。

  • Holistic lithography products are now extending also into EUV processes, with customers evaluating our EUV Source Mask Optimization software for the development of their 7 and 5 nanometer technologies.

    整體光刻產品現在也擴展到 EUV 工藝,客戶評估我們的 EUV 源掩模優化軟件以開發他們的 7 和 5 納米技術。

  • And finally on EUV, as most of you are aware, our 2015 focus has been on improving EUV stability, availability, and productivity, the key performance metrics that drive new technology adoption.

    最後,關於 EUV,正如你們大多數人所知,我們 2015 年的重點是提高 EUV 穩定性、可用性和生產力,這是推動新技術採用的關鍵性能指標。

  • In several recent public presentations, our customers have clearly recognized our EUV progress in these areas.

    在最近的幾次公開展示中,我們的客戶已經清楚地認識到我們在這些領域的 EUV 進展。

  • In a four-week, customer-run manufacturing readiness test at production conditions, we've seen 15,000 wafers exposed with comparable results achieved using the same power configuration at multiple customers.

    在為期 4 週、客戶運行的生產準備測試中,我們已經看到 15,000 個晶圓曝光,在多個客戶使用相同的電源配置時取得了類似的結果。

  • On the raw productivity side, we have a new system configuration, the NXT:3350, that has demonstrated in our factory more than 1,250 wafers exposed in a 24-hour period.

    在原始生產力方面,我們有一個新的系統配置 NXT:3350,它在我們的工廠中展示了 24 小時內曝光的 1,250 多個晶圓。

  • Six out of eight systems at customer sites have achieved four-week average system availability of greater than 70%, with one system reaching the 80% mark.

    客戶現場的 8 個系統中有 6 個實現了超過 70% 的 4 周平均係統可用性,其中 1 個系統達到 80% 大關。

  • However, the worldwide average is currently still lower, indicating that performance stability in the entire installed base needs to be further improved.

    然而,目前全球平均水平仍然較低,表明整個裝機量的性能穩定性需要進一步提高。

  • We believe that our 2016 performance targets of 1,500 wafers per day and 80% total system availability are achievable, and will therefore be aggressively pursued over the course of this calendar year.

    我們相信我們 2016 年每天 1,500 片晶圓和 80% 總系統可用性的性能目標是可以實現的,因此將在本日曆年中積極追求。

  • Now, with that, we would be happy to take your questions.

    現在,我們很樂意回答您的問題。

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Thank you, Peter.

    謝謝你,彼得。

  • Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session.

    女士們,先生們,接線員將立即指導您了解問答環節的流程。

  • But beforehand, as I always do, I would like to ask you to kindly limit yourself to one question, with one short follow-up if necessary.

    但在此之前,就像我一直做的那樣,我想請您將自己限制在一個問題上,如有必要,可以進行一個簡短的跟進。

  • This will allow us to get as many callers on today as possible.

    這將使我們能夠在今天接聽盡可能多的來電者。

  • Now, operator, can we have your instructions and then the first caller, please?

    現在,接線員,我們可以先聽聽您的指示,然後是第一個來電者嗎?

  • Operator

    Operator

  • (Operator Instructions).

    (操作員說明)。

  • Kai Korschelt, Bank of America.

    美國銀行的 Kai Korschelt。

  • Kai Korschelt - Analyst

    Kai Korschelt - Analyst

  • So the first one was just on the second quarter.

    所以第一個是在第二季度。

  • So I'm just wondering, what level of visibility do you have?

    所以我只是想知道,你有什麼水平的知名度?

  • What could be the magnitude of the snapback?

    回彈的幅度可能是多少?

  • And the reason I'm asking is, I think if I just take your bookings in the fourth quarter, and if I add maybe EUR0.5 billion service sales, then it looks like we should be well above EUR1.6 billion.

    我問的原因是,我想如果我在第四季度接受你的預訂,如果我增加 5 億歐元的服務銷售額,那麼看起來我們應該遠遠超過 16 億歐元。

  • So I'm just wondering if that's the right ballpark.

    所以我只是想知道這是否正確。

  • And then I have a follow-up.

    然後我有一個後續行動。

  • Thank you.

    謝謝你。

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • Well, we don't want to leave you down any particular number, but we chose the word significantly wisely, so we wouldn't do that if it would be just a little bit up.

    好吧,我們不想讓你失望任何特定的數字,但我們非常明智地選擇了這個詞,所以如果它只是一點點,我們就不會這樣做。

  • So we'll leave it at that today, but it's all underpinned by a strong ramp in 10 nanometer.

    所以我們今天就到此為止,但這一切都得到了 10 納米強勁增長的支持。

  • And we also said that memory will be, throughout the first half, at Q4 levels, so I think you can approximate it from there pretty well.

    我們還說過,在整個上半場,內存將處於第四季度的水平,所以我認為你可以從那裡很好地估計它。

  • Kai Korschelt - Analyst

    Kai Korschelt - Analyst

  • Okay.

    好的。

  • And then just a quick one on the gross margin.

    然後只是關於毛利率的一個快速的。

  • So, I think the Q1 guidance is probably below where most people think it would be, even if we look back at quarters with similar revenue -- run rates.

    因此,我認為第一季度的指導可能低於大多數人認為的水平,即使我們回顧具有相似收入的季度 - 運行率。

  • So I'm just wondering, broadly, what are the reasons?

    所以我只是想知道,從廣義上講,原因是什麼?

  • And should we expect that if we do see the recovery in demand and revenues in the second quarter, that we should settle back at the 47%, 48% level that I think we've become used to it, as obviously before EUV?

    我們是否應該期望,如果我們確實看到第二季度的需求和收入復甦,我們應該回到我認為我們已經習慣的 47%、48% 的水平,就像在 EUV 之前一樣?

  • Thank you.

    謝謝你。

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • Yes, Kai, I can address it, as well.

    是的,凱,我也可以解決這個問題。

  • First of all, there are no structural changes in the sense that you should be worried that pricing came down, or we have any cost issues.

    首先,從某種意義上說,沒有結構性變化,你應該擔心價格下降,或者我們有任何成本問題。

  • This is purely a function of lower volume at one, and then more so a change in mix between products and customers.

    這純粹是一方面銷量下降,另一方面是產品和客戶之間的組合發生變化。

  • And then also within the service and field options, that the service is a bit higher when compared to the field options, which come at higher margin.

    然後在服務和現場選項中,與利潤率更高的現場選項相比,服務要高一些。

  • And then you can also read that when we say foundry is lower and memory is higher, foundry tools are usually in a richer configuration going to the customer.

    然後你還可以讀到,當我們說代工較低而內存較高時,代工工具通常以更豐富的配置提供給客戶。

  • Now, if you want to look at Q2, we won't give you an exact number.

    現在,如果你想看看第二季度,我們不會給你一個確切的數字。

  • But when you consider that the volume will go up and the foundry shipments will go up, and it's 10 nanometer, it will be pretty nicely configured tools, you'll know in which direction the margin will go.

    但是當你考慮到產量會上升,代工廠出貨量會上升,而且是 10 納米時,它會是配置得很好的工具,你就會知道利潤率會往哪個方向發展。

  • Kai Korschelt - Analyst

    Kai Korschelt - Analyst

  • Okay, great.

    好,太棒了。

  • Thank you.

    謝謝你。

  • Operator

    Operator

  • Sandeep Deshpande.

    桑迪普·德斯潘德。

  • Sandeep Deshpande - Analyst

    Sandeep Deshpande - Analyst

  • Sandeep Deshpande from JP Morgan.

    來自摩根大通的 Sandeep Deshpande。

  • My question would be, Peter, on the memory market.

    彼得,我的問題是關於內存市場。

  • You've said that you are going to have a flattish trend in the first half in the memory market.

    您曾說過上半年內存市場將呈現平淡趨勢。

  • Do you see this, into the second half, substantially correcting?

    你是否看到這一點,進入下半場,大幅糾正?

  • Or you don't have visibility at this point into this segment?

    或者您此時對該細分市場沒有可見性?

  • Secondly, I have a quick question on EUV as well.

    其次,我也有一個關於 EUV 的快速問題。

  • Clearly, EUV is progressing much better at this point in terms of throughput, and you've given some of the statistics.

    顯然,就吞吐量而言,EUV 在這一點上的進展要好得多,而且您已經提供了一些統計數據。

  • What time frame do you see EUV actually being built for production volumes?

    您認為 EUV 實際用於量產的時間框架是什麼?

  • Thanks.

    謝謝。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Okay.

    好的。

  • On the memory market, like I said in the introductory comments, we have very clear visibility given by our customers, also evidenced by our bookings that we received in the fourth quarter.

    在內存市場上,正如我在介紹性評論中所說,我們的客戶提供了非常清晰的可見性,我們在第四季度收到的預訂也證明了這一點。

  • On what we are going to ship in the first half, we're just focused on also the introduction of the new DRAM nodes.

    關於我們將在上半年發布的內容,我們只關注新 DRAM 節點的引入。

  • So you could say it's clearly a technology transition that is driving the shipments in the first half.

    所以你可以說這顯然是推動上半年出貨量的技術轉型。

  • We don't have that visibility yet on the second half, is our current thinking.

    我們目前的想法是,下半年我們還沒有這種能見度。

  • I can only give you some indication of what was said by some of the market research analyst firms.

    我只能告訴你一些市場研究分析公司所說的話。

  • They expect -- and if they are right, and 25% bit growth for next year would mean about flat wafer capacity year-on-year, 2016 versus 2015.

    他們預計——如果他們是正確的,明年 25% 的位增長將意味著 2016 年與 2015 年相比,晶圓產能同比持平。

  • So that means that if the initial shipments of the technology [trends] happen in the first half, then the second half will be lower than all capacity additions needed.

    因此,這意味著如果技術[趨勢]的初始出貨量發生在上半年,那麼下半年將低於所需的所有產能增加。

  • How much lower?

    低多少?

  • That's a bit too early to say.

    現在說還為時過早。

  • But clearly first-half technology trend and transitions; and second half, probably a lot less.

    但清楚上半年的技術趨勢和轉變;下半場,可能少了很多。

  • Sandeep Deshpande - Analyst

    Sandeep Deshpande - Analyst

  • Thank you.

    謝謝你。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • On EUV throughput, yes, and on that we've made good progress.

    在 EUV 吞吐量方面,是的,在這方面我們取得了很好的進展。

  • We've agreed with our customers the targets for 2016, and we have good confidence that we are going to get there.

    我們已與客戶就 2016 年的目標達成一致,我們對實現目標充滿信心。

  • You have to look at 2016 as, I would say, the last phase in the EUV introduction of where our customers are developing their next nodes for which they are planning their production output in 2018 and 2019.

    你必須將 2016 年視為 EUV 引入的最後階段,我們的客戶正在開發他們計劃在 2018 年和 2019 年生產的下一個節點。

  • So, it's the last phase of the development node, and the qualification of those architectures that we will see in 2018, 2019, hitting the market.

    所以,這是開發節點的最後階段,以及我們將在 2018 年、2019 年看到的那些架構的資格,這些架構將投放市場。

  • That means that 2017 will be the year where we will start to see the start of the EUV shipments for production, and they will be used in 2018.

    這意味著 2017 年將是我們開始看到 EUV 出貨量開始生產的一年,它們將在 2018 年使用。

  • And that will accelerate through 2018.

    這將在 2018 年加速。

  • So 2017 will see the first start to make sure we can do -- customers can do the output in 2018, which will accelerate in 2018 further on.

    所以 2017 年將首先開始確保我們可以做到——客戶可以在 2018 年完成輸出,這將在 2018 年進一步加速。

  • So, that's nothing different than we said, I think, last quarter; so, no change from that respect.

    所以,這與我們上個季度所說的沒什麼不同;所以,從這方面來說沒有變化。

  • Sandeep Deshpande - Analyst

    Sandeep Deshpande - Analyst

  • Thanks, Peter.

    謝謝,彼得。

  • Operator

    Operator

  • Gareth Jenkins.

    加雷斯·詹金斯。

  • Gareth Jenkins - Analyst

    Gareth Jenkins - Analyst

  • Yes, a couple if I could -- or rather one, and one follow-up.

    是的,如果可以的話,一對——或者更確切地說,一個,一個後續行動。

  • So, I just wondered if we could talk about 10 nanometer ramp, now you've got maybe a bit more visibility around it.

    所以,我只是想知道我們是否可以談論 10 納米斜坡,現在你可能對它有了更多的了解。

  • Should we still be thinking about 10% pure wafer starts between the 2016 14 node and the 7/10 nodes, but also a 40% to 50% lithography intensity increase?

    我們是否仍應考慮在 2016 年 14 節點和 7/10 節點之間啟動 10% 的純晶圓,以及 40% 到 50% 的光刻強度增加?

  • Just wondered if we could elaborate on that, now you've got more line of sight.

    只是想知道我們是否可以詳細說明,現在你有更多的視線。

  • And that I have a follow-up on EUV.

    我對 EUV 進行了跟進。

  • Thank you.

    謝謝你。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes, just for clarification, I just missed part of your question on your 10%.

    是的,只是為了澄清,我只是錯過了你 10% 的部分問題。

  • You referred to 10% (technical difficulty).

    你提到了 10%(技術難度)。

  • Gareth Jenkins - Analyst

    Gareth Jenkins - Analyst

  • The low wafer starts, Peter, between -- so, 2016 -- 14, and 7/10.

    低晶圓開始,彼得,在 - 所以,2016 - 14 和 7/10 之間。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Okay, okay, sorry.

    好的,好的,對不起。

  • Yes, yes.

    是的是的。

  • Yes, it's basically --that's the assumption on the 10% that we still work with.

    是的,這基本上是我們仍在使用的 10% 的假設。

  • We don't have any other data.

    我們沒有任何其他數據。

  • I'll comment on that a bit later, also.

    我稍後也會對此發表評論。

  • The 40% litho intensity, yes, that's what node-on-node -- that's still what we think is a realistic number.

    40% 的光刻強度,是的,這就是節點對節點的強度——這仍然是我們認為的現實數字。

  • On the node-on-node wafer capacity reduction, the 10% is still what we are using.

    在node-on-node晶圓產能縮減上,10%還是我們在用的。

  • You have to bear in mind that, starting from the 28 nanometer node, we see those nodes extending a lot longer than what we saw in the past.

    你必須記住,從 28 納米節點開始,我們看到那些節點延伸的時間比我們過去看到的要長很多。

  • And you've been around a long time, so you know that, between 5 and 10 years ago, nodes had a two-year life.

    你已經存在很長時間了,所以你知道,在 5 到 10 年前,節點的壽命為兩年。

  • And then the previous nodes stopped, next node came, and lasted about two years, and almost all logic customers moved in that same time period, which is less the case today, or not the case today.

    然後之前的節點停止,下一個節點來了,持續了大約兩年,幾乎所有邏輯客戶都在同一時間段內移動,今天這種情況較少,或者今天不是這種情況。

  • We see the initial acceptance of a new node being driven by the leading-edge customers.

    我們看到由領先客戶推動的新節點的初步接受。

  • And they install, rather swiftly and rather fast, a quite a significant amount of capacity.

    他們相當迅速地安裝了大量的容量。

  • And then you see the other customers in that segment, you could say the second-tier customers, following later.

    然後你會看到該細分市場中的其他客戶,你可以說是二線客戶,稍後跟進。

  • That is also what we see today.

    這也是我們今天所看到的。

  • 28 nanometer is still being shipped, even in Q1 of 2016, which is more than four years after the initial introduction.

    28 納米仍在出貨,即使是在 2016 年第一季度,也就是最初推出四年多之後。

  • So, you can see kind of a camel back in the first phase of that node, and then a much longer tail.

    因此,您可以在該節點的第一階段看到類似駱駝的背部,然後是更長的尾巴。

  • Which also makes it more difficult for us to say how much will that wafer capacity for that node be.

    這也讓我們更難說出該節點的晶圓容量是多少。

  • We assume 10%, but over time we will -- it needs to be proven whether this is the correct number.

    我們假設 10%,但隨著時間的推移我們會——需要證明這是否是正確的數字。

  • Gareth Jenkins - Analyst

    Gareth Jenkins - Analyst

  • That's fair.

    這還算公平。

  • And just one follow-up.

    只有一個後續行動。

  • It looks like your Chinese orders are very strong, somewhere around EUR500 million, which I would assume is mostly Dalian.

    看起來你的中國訂單非常強勁,大約 5 億歐元,我認為主要是大連。

  • Can you just give us a sense of your market share into China through the course of this year, or rather on those orders?

    你能告訴我們今年你在中國的市場份額,或者更確切地說,這些訂單嗎?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, our market share in China has always been pretty good, and it will stay pretty good.

    好吧,我們在中國的市場份額一直很好,而且會保持很好。

  • I'm not going to give you an exact number, but we have no worries about our market share in China.

    我不會給你一個確切的數字,但我們不擔心我們在中國的市場份額。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Okay, thanks.

    好的謝謝。

  • Operator

    Operator

  • Jerome Ramel.

    杰羅姆·拉梅爾。

  • Jerome Ramel - Analyst

    Jerome Ramel - Analyst

  • Good afternoon.

    下午好。

  • Jerome Ramel from Exane BNP Paribas.

    Exane BNP Paribas 的 Jerome Ramel。

  • Peter, just want to come back to the point you made that your clients seem to be keen on moving to the 10 nanometer node because that's a [terrific] count improvement compared to the 16/14 versus the 20.

    彼得,只想回到你所說的觀點,你的客戶似乎熱衷於轉向 10 納米節點,因為與 16/14 和 20 相比,這是一個 [極好的] 計數改進。

  • Could you just give us a sense what is better?

    你能告訴我們什麼更好嗎?

  • Is it the term of yield, it's in term of cost per transistor?

    是產量術語,還是每個晶體管的成本術語?

  • What would make the 10 nanometer node more attractive than the 16/14?

    是什麼讓 10 納米節點比 16/14 節點更具吸引力?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes.

    是的。

  • From a lithography point of view, the 16/14 node is very similar from a little half-pitch dimension point of view, very similar to the 20 nanometer node.

    從光刻的角度來看,16/14 節點從半間距尺寸的角度來看非常相似,與 20 納米節點非常相似。

  • So you really should not go past 16 and 14, from a litho pitch point of view, to 10, but really 20 to 10.

    所以你真的不應該超過 16 和 14,從光刻間距的角度來看,到 10,但實際上是 20 到 10。

  • And that's a big shrink.

    這是一個很大的縮水。

  • And, as you know, shrink has a big impact on the cost per bit.

    而且,如您所知,收縮對每位成本有很大影響。

  • And so it is driven by cost per bit.

    因此,它是由每比特成本驅動的。

  • And for some customers, actually it's also value; just putting more functionality on the same square surface.

    而對於一些客戶來說,其實也是有價值的;只是將更多功能放在同一個方形表面上。

  • That's what's driving it.

    這就是它的驅動力。

  • Jerome Ramel - Analyst

    Jerome Ramel - Analyst

  • So you'd see -- to make clear, you see the cost per transistor going down at 10 nanometer node?

    所以你會看到 - 明確地說,你看到每個晶體管的成本在 10 納米節點下降?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes.

    是的。

  • Yes.

    是的。

  • Jerome Ramel - Analyst

    Jerome Ramel - Analyst

  • Okay.

    好的。

  • And maybe just one follow-up.

    也許只有一次跟進。

  • You gave guidance for the full year -- I mean quantitative guidance for the memory -- so Flash being flat, and DRAM going down.

    你給出了全年的指導——我的意思是內存的定量指導——所以閃存持平,而 DRAM 下降。

  • I'm not sure I understood for logic and foundry what the trend are, in term of quantitatively compared to 2015.

    從數量上與 2015 年相比,我不確定我是否理解邏輯和代工的趨勢是什麼。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, I think the -- Wolfgang said the first quarter is relatively benign, but we really see the ramp starting in Q2.

    好吧,我認為 - 沃爾夫岡說第一季度相對良性,但我們確實看到第二季度開始出現增長。

  • And then also we are extending into IDM, not only for foundry but also IDM on 10 nanometer, which will be the remainder of the year, which will drive the remainder of the year.

    然後我們還將擴展到 IDM,不僅是代工,還有 10 納米的 IDM,這將是今年餘下的時間,這將推動今年餘下的時間。

  • Now, if you just look at the size of that ramp -- and the ultimate size for this year is always a bit difficult to predict in the third week of January, so as we are very early in this year.

    現在,如果您只看一下坡道的大小——今年的最終規模在 1 月的第三週總是有點難以預測,因為我們今年還很早。

  • But if you look at that -- what customers are telling us, it's going to be a significant ramp, and will be driven by the leading-edge players, not so much by the followers, which will follow on, I said as an answer to an earlier question, which will probably have a much longer tail.

    但如果你看一下——客戶告訴我們的,這將是一個重要的增長點,將由領先的參與者驅動,而不是由追隨者推動,追隨者將繼續前進,我說這是一個答案較早的問題,可能會有更長的尾巴。

  • But the initial ramp up we are seeing will be significant, and that causes us to state that the 2016 logic market for us will be significantly higher than 2015.

    但我們看到的初始增長將是顯著的,這讓我們聲明 2016 年的邏輯市場對我們來說將顯著高於 2015 年。

  • Jerome Ramel - Analyst

    Jerome Ramel - Analyst

  • For logic, that includes logic and foundry, or that's just purely logic?

    對於邏輯,包括邏輯和代工,還是純粹的邏輯?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • That's logic versus foundry and IDM.

    與代工廠和 IDM 相比,這是合乎邏輯的。

  • Jerome Ramel - Analyst

    Jerome Ramel - Analyst

  • Okay.

    好的。

  • Thanks.

    謝謝。

  • Operator

    Operator

  • C.J. Muse.

    C.J.繆斯。

  • C.J. Muse - Analyst

    C.J. Muse - Analyst

  • Good afternoon, C.J. Muse with Evercore ISI.

    下午好,Evercore ISI 的 C.J. Muse。

  • I guess first question, I know there's a lot of moving parts here, but curious what are the key milestones we should be watching for EUV to be designed in at the 7 nanometer node.

    我想第一個問題,我知道這裡有很多活動部件,但很好奇我們應該關注哪些關鍵里程碑,以便在 7 納米節點設計 EUV。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • I think we mentioned those.

    我想我們提到了那些。

  • I think, for our customers, it's most important that they have a certain level of productivity.

    我認為,對於我們的客戶而言,最重要的是他們擁有一定水平的生產力。

  • But it's very important that when you start planning your production, that availability is critical.

    但非常重要的是,當您開始計劃生產時,可用性至關重要。

  • So, the 80% availability target is what we agreed with our customers.

    因此,80% 的可用性目標是我們與客戶達成一致的目標。

  • This is what we are, at least, following.

    至少,這就是我們正在遵循的。

  • I also like to refer to our presentation, where you can see that.

    我還想參考我們的演示文稿,您可以在其中看到。

  • On productivity, quite interesting: one of our key customers -- in the question that was asked recently on where the 500 wafers spread day would be sufficient for them to go into production, assuming a reliable or a, let's say, good availability of the tool.

    關於生產力,非常有趣:我們的一個主要客戶——在最近被問到的問題中,假設 500 片晶圓的傳播日足以讓他們投入生產,假設可靠的或者說,良好的可用性工具。

  • And the answer was yes, they would use it.

    答案是肯定的,他們會使用它。

  • So, you can draw a conclusion from that answer, that it's not so much now about the productivity, it is about the availability.

    因此,您可以從該答案中得出結論,現在與其說是生產力,不如說是可用性。

  • So this is what we are really focusing on this year.

    所以這就是我們今年真正關注的重點。

  • C.J. Muse - Analyst

    C.J. Muse - Analyst

  • That's helpful.

    這很有幫助。

  • And as a follow-up, in terms of foundry logic spend this year, clearly a very good year for 10 nanometer.

    作為後續,就代工邏輯而言,今年顯然是 10 納米非常好的一年。

  • Curious if you could share your thoughts on the mix this year between 10 nanometer China foundry spending year-over-year, as well as whether you're seeing any incremental capacity adds at the 14/16 nanometer nodes.

    想知道您是否可以分享您對今年 10 納米中國晶圓代工支出同比增長的看法,以及您是否看到 14/16 納米節點的任何增量產能增加。

  • And then to follow on to that, your expectations for that 10 nanometer ramp to continue into 2017.

    然後繼續下去,您對 10 納米斜坡的期望將持續到 2017 年。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes, I made some notes.

    是的,我做了一些筆記。

  • The 10 nanometer ramp is predominantly outside China, if you refer to China.

    如果你指的是中國,10 納米的斜坡主要在中國以外。

  • When we see China -- the Chinese logic or foundry market, we are clearly focusing on the nodes before 10.

    當我們看到中國——中國的邏輯或代工市場時,我們顯然是在關注 10 之前的節點。

  • So the 28 nanometer node is still relatively strong in China, but also very clear indications of the move to 16 and 14.

    所以28納米節點在國內還是比較強勢的,而且向16、14轉移的跡像也很明顯。

  • And that is where we see Chinese foundries going.

    這就是我們看到中國鑄造廠的發展方向。

  • Like I said, the 10 nanometer ramp will be outside China.

    就像我說的,10 納米斜坡將在中國境外。

  • 2017 is a good question.

    2017 年是個好問題。

  • I think it's too early for us to say anything about 2017.

    我認為現在談論 2017 年還為時過早。

  • I think what we'll have to go through is the next two, three quarters of the initial ramp, which we have a pretty decent visibility of.

    我認為我們必須經歷的是接下來的兩個,四分之三的初始斜坡,我們有相當不錯的可見性。

  • And 2017 will be driven, I think, by the end markets.

    我認為,2017 年將由終端市場驅動。

  • And we'll just -- a bit too early to comment on that, C.J. (multiple speakers).

    C.J.(多位發言者),我們對此發表評論還為時過早。

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • But C.J., directionally for 2016, the 10 nanometer will make up the majority of our shipments.

    但是 C.J.,在 2016 年的方向上,10 納米將占我們出貨量的大部分。

  • But there will still be 28 and 16 and 14 shipments as well.

    但仍然會有 28、16 和 14 的出貨量。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes, but those are then predominantly in the direction of China, and somewhat in Taiwan.

    是的,但那些主要是在中國的方向,還有一些在台灣。

  • C.J. Muse - Analyst

    C.J. Muse - Analyst

  • Great.

    偉大的。

  • Thank you so much.

    太感謝了。

  • Operator

    Operator

  • Mr. Hosseini.

    侯賽尼先生。

  • Mr. Hosseini, you can ask your question.

    侯賽尼先生,你可以問你的問題。

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Sound like he's not there, operator.

    聽起來他不在那裡,接線員。

  • Want to go to the next one?

    想去下一個嗎?

  • Operator

    Operator

  • Amit Harchandani, Citi.

    花旗銀行的 Amit Harchandani。

  • Amit Harchandani - Analyst

    Amit Harchandani - Analyst

  • This is Amit Harchandani from Citigroup.

    我是花旗集團的 Amit Harchandani。

  • Good afternoon, ladies and gentlemen.

    女士們先生們,下午好。

  • Two questions: firstly, with regards to a topic that does come up often for discussion, which is equipment reuse -- we've heard some of your peers talk about it, the customers talk about it.

    兩個問題:首先,關於一個經常討論的話題,即設備再利用——我們聽過你們的一些同行談論過這個話題,客戶也談論過這個話題。

  • Could you give us a sense for how you see equipment reuse impacting your prospects for this year as compared to last year?

    與去年相比,您能否告訴我們您如何看待設備再利用對您今年前景的影響?

  • I'm also looking forward to your medium-term financial roadmap across the different end segments.

    我也期待著您在不同終端領域的中期財務路線圖。

  • So that would be my first question, and I have a follow-up.

    所以這將是我的第一個問題,我有一個後續問題。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Okay.

    好的。

  • The equipment reuse is always -- it appears to dominate some of our discussions lately, but it's always been there.

    設備重用總是——它最近似乎主導了我們的一些討論,但它一直存在。

  • Large IDMs have always done this, and it's a very sensible way to manage your capital efficiency.

    大型 IDM 一直這樣做,這是管理資本效率的一種非常明智的方法。

  • Now, with tool prices going up to where they currently are -- advanced DPV prices are EUR50 million -- there is more and more focus of our customers on capital efficiency.

    現在,隨著工具價格上漲到目前的水平——先進的 DPV 價格為 5000 萬歐元——我們的客戶越來越關注資本效率。

  • And the reuse program, which we have designed by adapting the architecture in such a way that we can upgrade from one node to the other.

    以及我們通過調整架構設計的重用程序,我們可以從一個節點升級到另一個節點。

  • And with litho intensity going up with about 40% node-on-node.

    隨著光刻強度的增加,節點對節點大約增加了 40%。

  • And there's a very clear driver of our customers to say, what part of my installed base can we upgrade to the next node?

    我們的客戶有一個非常明確的驅動因素,即我們可以將我的安裝基礎的哪一部分升級到下一個節點?

  • That's called a reuse.

    這就是所謂的重用。

  • That doesn't cover the 40% litho intensity at all.

    這根本不包括 40% 的光刻強度。

  • It just covers part of it.

    它只是涵蓋了一部分。

  • And we have had situations whereby reuses, let's say upgrades, were planned on the previous node, that never happened because the previous node extended longer than the original planning.

    我們遇到過在前一個節點上計劃重用(比方說升級)的情況,但這種情況從未發生過,因為前一個節點的擴展時間比最初的計劃長。

  • So, it's nothing new.

    所以,這不是什麼新鮮事。

  • I think it's going to be part of our business going forward.

    我認為這將成為我們未來業務的一部分。

  • Very healthy part, if you think about an extensive upgrade from a 1950 to a 1970, for instance, is a EUR20 million-plus upgrade, with these margins.

    非常健康的部分,例如,如果您考慮從 1950 年到 1970 年的大規模升級,那麼升級將超過 2000 萬歐元,並且具有這些利潤率。

  • Which is good business for us; helps us increase our services and option sales business, and helps our customers to manage their installed base.

    這對我們來說是個好生意;幫助我們增加服務和期權銷售業務,並幫助我們的客戶管理他們的安裝基礎。

  • So I think it's going to be part of our business, going forward.

    所以我認為這將成為我們未來業務的一部分。

  • It might be new to some of our peers.

    這對我們的一些同行來說可能是新的。

  • But it isn't to us because, our tools have always been one of the most expensive in the customer fabs.

    但這對我們來說不是,因為我們的工具一直是客戶工廠中最昂貴的工具之一。

  • So, it's here to stay, and it's good.

    所以,它會留在這裡,而且很好。

  • Amit Harchandani - Analyst

    Amit Harchandani - Analyst

  • Thanks, Peter.

    謝謝,彼得。

  • And then just to clarify, the extent of reuse that you're seeing out there right now is in line with your 2020 financial roadmap?

    然後澄清一下,您現在看到的重用程度是否符合您的 2020 年財務路線圖?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Absolutely.

    絕對地。

  • Yes, in our 2020 roadmap, we have actually included that reuse.

    是的,在我們的 2020 年路線圖中,我們實際上已經包括了這種重用。

  • It is an inevitable event.

    這是不可避免的事件。

  • And the level of reuse that we are seeing is very much in line with our expectations.

    我們看到的重用程度非常符合我們的預期。

  • Amit Harchandani - Analyst

    Amit Harchandani - Analyst

  • Thank you.

    謝謝你。

  • And just as a follow-up if I may, Wolfgang, could you kindly just once again explain or elaborate upon your comment of EUV revenue recognition for this year?

    作為後續行動,Wolfgang,您能否再次解釋或詳細說明您對今年 EUV 收入確認的評論?

  • I'm afraid I did not catch it correctly.

    恐怕我沒聽清楚。

  • Was it EUR110 million in the middle of the year?

    是年中的 1.1 億歐元嗎?

  • So what are we looking for, in terms of EUV revenues this year?

    那麼,就今年的 EUV 收入而言,我們在尋找什麼?

  • If you could shed some light on that.

    如果你能闡明這一點。

  • Thank you.

    謝謝你。

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • You got that right.

    你說對了。

  • Well, first of all, we told you that while we're in this transition period where we can recognize not when a tool leaves our factory, we will give you guidance as we ship the tools.

    好吧,首先,我們告訴過您,雖然我們處於這個過渡期,我們無法識別工具何時離開我們的工廠,但我們會在運送工具時為您提供指導。

  • And I said we shipped three tools last year, and of which only one recognized.

    我說我們去年交付了三種工具,但只有一種得到認可。

  • And on top of that, we started the shipment of another tool.

    最重要的是,我們開始運送另一種工具。

  • Of the two tools that shipped and have not recognized last year, and the tool that has started to ship, from these three tools together you should expect approximately EUR110 million in revenue.

    在去年出貨但未確認的兩個工具以及已經開始出貨的工具中,這三個工具的總收入應該約為 1.1 億歐元。

  • And that will be somewhere in the Q2, Q3, mid-year time frame.

    這將在第二季度、第三季度、年中的某個時間段內進行。

  • And the balance of the revenue will likely recognize in 2017.

    收入餘額可能會在 2017 年確認。

  • Now (multiple speakers) the starting point, and then we also said that we will ship more tools this year.

    現在(多位發言者)起點,然後我們也說我們今年會出貨更多的工具。

  • And those, of course, will -- we also told you there is, for instance, a 3300 amongst them, which will recognize faster because there the recognition rules are different because we just need to demonstrate that we can print the wafer.

    那些,當然,我們也告訴過你,例如,其中有一個 3300,它會更快地識別,因為那裡的識別規則不同,因為我們只需要證明我們可以打印晶圓。

  • So there's more to come, so you've got to bear with us as we make these shipments.

    所以還有更多的東西要來,所以在我們運送這些貨物時你必須忍受我們。

  • And lastly, I'll say also that we will start to see some service revenue in the EUV field.

    最後,我還要說的是,我們將開始在 EUV 領域看到一些服務收入。

  • We already had some last year.

    我們去年已經有了一些。

  • Our total revenue was about EUR100 million or so, and only a little bit over EUR60 million came from systems.

    我們的總收入約為 1 億歐元左右,其中僅略高於 6000 萬歐元來自系統。

  • So you have to bear with us, Amit.

    所以你必須忍受我們,阿米特。

  • We will give you information as we go through the year.

    我們將在這一年中為您提供信息。

  • Amit Harchandani - Analyst

    Amit Harchandani - Analyst

  • Just to confirm, a minimum of EUR110 million, but the actual number could vary depending on your updates as you go through the quarters now?

    只是確認一下,至少 1.1 億歐元,但實際數字可能會有所不同,具體取決於您現在經歷這些季度的更新?

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • That is correct.

    那是正確的。

  • Amit Harchandani - Analyst

    Amit Harchandani - Analyst

  • Thank you.

    謝謝你。

  • Operator

    Operator

  • Mr. Sanganeria.

    Sanganeria 先生。

  • One moment.

    一瞬間。

  • Mahesh Sanganeria - Analyst

    Mahesh Sanganeria - Analyst

  • (technical difficulty) there is same level as -- hello?

    (技術難度)有相同的水平——你好?

  • Yes.

    是的。

  • Okay, so you said memory at similar level to Q4, which was about EUR350 million, down 35%.

    好的,所以你說內存與第四季度的水平相似,約為 3.5 億歐元,下降了 35%。

  • So, first half at that level, and second half you said decline.

    所以,上半場在那個水平,下半場你說下降。

  • That will indicate a significant down, year-over-year.

    這將表明同比大幅下降。

  • Did I understand that clearly?

    我理解清楚了嗎?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, I referred to DRAM system.

    好吧,我指的是 DRAM 系統。

  • And since we don't split out DRAM in the fourth quarter results -- so, DRAM shipments will be about equivalent to Q4.

    而且由於我們沒有在第四季度的結果中拆分 DRAM——因此,DRAM 出貨量將與第四季度大致相當。

  • NAND will be over the year, year-on-year, will be about flat.

    NAND 將全年,同比,將持平。

  • Mahesh Sanganeria - Analyst

    Mahesh Sanganeria - Analyst

  • Okay.

    好的。

  • And I want to follow up on the China.

    我想跟進中國。

  • You had pretty strong orders on China; somebody mentioned EUR500 million, pretty close.

    你對中國有很強的命令;有人提到 5 億歐元,非常接近。

  • And mostly, probably on NAND side, is that shipment to China mostly in Q2?

    大多數情況下,可能在 NAND 方面,對中國的出貨量主要是在第二季度嗎?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • That shipment starts in Q1.

    該裝運從第一季度開始。

  • Mahesh Sanganeria - Analyst

    Mahesh Sanganeria - Analyst

  • Starts in Q1?

    第一季度開始?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • And (multiple speakers) will continue.

    並且(多位發言人)將繼續。

  • Mahesh Sanganeria - Analyst

    Mahesh Sanganeria - Analyst

  • All right.

    好的。

  • Thank you.

    謝謝你。

  • Operator

    Operator

  • Mehdi Hosseini.

    邁赫迪·侯賽尼。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • Peter, going back to your 2015 performance, your foundry revenues were up 36%, but immersion systems shipment was down, and ASPs were down, too.

    彼得,回到你 2015 年的表現,你的代工收入增長了 36%,但浸入式系統出貨量下降,平均售價也下降。

  • Can you help me understand how did this mix change, despite the fact that foundries were up so much?

    你能幫我理解這種混合是如何變化的嗎,儘管代工廠漲幅如此之大?

  • And I have a follow-up.

    我有一個後續行動。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • For 2016, you said?

    2016年,你說?

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • 2015.

    2015.

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes.

    是的。

  • Foundry shipments are up -- the ASP -- we shipped significantly more systems altogether.

    代工廠出貨量上升——ASP——我們總共出貨了更多的系統。

  • And they were also KrF and other systems in there.

    它們還有 KrF 和其他系統。

  • So like-for-like, the ASP didn't go down; but because of the mix, the ASP went down.

    因此,平均售價沒有下降;但由於混合,ASP 下降了。

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • Yes, and it's KrF.

    是的,它是 KrF。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • Does not reflect that foundries spend more on their trailing edge versus leading edge?

    難道沒有反映代工廠在後緣上比在前緣上花費更多嗎?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • That is absolutely -- like we said earlier, we are currently shipping a different node layers.

    這絕對是——就像我們之前所說的,我們目前正在運送不同的節點層。

  • We're -- 28 nanometer, 14/16, the first 10 nanometer R&D tools.

    我們是——28 納米、14/16,第一個 10 納米研發工具。

  • So it's quite a mixed bag of those tools.

    所以它是這些工具的混合體。

  • So to draw a conclusion on ASPs or ASP trends is a bit difficult because it's quite a mixed bag.

    因此,就 ASP 或 ASP 趨勢得出結論有點困難,因為它是一個混雜的包。

  • But what we can say is that with every node transition, the ASP goes up because of the richer configuration, including a lot more holistic litho options.

    但我們可以說的是,隨著每個節點的轉換,ASP 都會上升,因為配置更豐富,包括更多的整體光刻選項。

  • Now in 2015, which is true, we had a mixed bag of 28 nanometer node, 14/16 nanometer node, and some early 10 nanometer node shipments.

    現在在 2015 年,這是真的,我們有 28 納米節點、14/16 納米節點和一些早期的 10 納米節點出貨量。

  • So, I think it's a bit difficult.

    所以,我覺得有點難。

  • And it did include, as we put in the presentation, there's a lot more KrF.

    正如我們在演示文稿中所說,它確實包括更多的 KrF。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • Okay.

    好的。

  • And then my final question [is actually] China.

    然後我的最後一個問題 [實際上是] 中國。

  • Can you elaborate more whether the key end markets or device stock that is driving such a strong growth in backlog, as it relates to China?

    您能否詳細說明與中國相關的關鍵終端市場或設備庫存是否推動瞭如此強勁的積壓增長?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, it's both foundry and now also memory.

    好吧,它既是代工廠,現在也是內存。

  • So it's shipped due -- so it's the ship to region is indeed stronger.

    所以它已經發貨了 - 所以它到區域的船確實更強大。

  • And that's because memory is now also adding, on top of the logic shipments, which are predominantly driven by 28 nanometer.

    這是因為內存現在也在增加,邏輯出貨量主要由 28 納米驅動。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • So there is a new NAND fab that is coming online, but also one of your Korean customers has a fab [Icheon].

    因此,有一家新的 NAND 工廠即將上線,而且您的一位韓國客戶也有一家工廠 [Icheon]。

  • How should we think about the mix -- new fab/existing fab on the 3-D NAND, and also the foundry market?

    我們應該如何考慮混合——3-D NAND 上的新晶圓廠/現有晶圓廠,以及代工市場?

  • Is it evenly split, or is one more than the others?

    是均分,還是多一個?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, I think there are existing fabs and the refurb fab -- one of the existing fabs that you referred to is already full.

    好吧,我認為現有的晶圓廠和翻新晶圓廠——你提到的現有晶圓廠之一已經滿了。

  • So, that means that the refurb fab is going to take tools.

    所以,這意味著翻新工廠將採用工具。

  • That's what it is.

    就是這樣。

  • It's as simple as that, and not more difficult than that.

    就這麼簡單,沒有比這更難的了。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • Got it.

    知道了。

  • Thank you.

    謝謝你。

  • (multiple speakers)

    (多個揚聲器)

  • Operator

    Operator

  • Pierre Ferragu.

    皮埃爾·費拉古。

  • Pierre Ferragu - Analyst

    Pierre Ferragu - Analyst

  • Good morning, it's Pierre Ferragu, Bernstein.

    早上好,我是伯恩斯坦的 Pierre Ferragu。

  • So, I have a question on your gross margin for the back end of the year.

    所以,我有一個關於你今年年底的毛利率的問題。

  • If I understand you correctly, foundry is going to be very strong.

    如果我理解正確的話,鑄造廠將會非常強大。

  • That's a 10 nanometer node.

    那是一個 10 納米節點。

  • It's very high-end tools, a lot of options and upgrades, and memory is going to be low.

    它是非常高端的工具,有很多選項和升級,而且內存會很低。

  • So should we expect a very, very healthy gross margin development beyond Q2?

    那麼我們是否應該期待第二季度之後的毛利率發展非常非常健康?

  • So in Q3 and Q4, gross margin should be also heading in the right direction?

    那麼在Q3和Q4,毛利率應該也朝著正確的方向發展吧?

  • So that's one question.

    所以這是一個問題。

  • And then I have just a quick follow-up on EUV.

    然後我對 EUV 進行了快速跟進。

  • I got, at some point, confused about insertion.

    在某些時候,我對插入感到困惑。

  • By understanding is that both your IDM customers and your foundry customers are going to insert EUV at the 5 nanometer nodes, so not the next one but the one after.

    據了解,你的 IDM 客戶和代工廠客戶都將在 5 納米節點插入 EUV,所以不是下一個,而是下一個。

  • Is that also how you see the world from where you are?

    這也是你從你所在的地方看世界的方式嗎?

  • And then maybe on this 5 nanometer node insertion, how much visibility do you have today on how heavy an insertion it is going to be?

    然後也許在這個 5 納米節點插入上,您今天對插入的重量有多大的了解?

  • Are we going to use EUV tools only at a very, very low level for the first layers?

    我們是否打算在第一層使用非常非常低的 EUV 工具?

  • Or are we heading into a more massive adoption of EUV at this 5 nanometer node?

    還是我們要在這個 5 納米節點上更大規模地採用 EUV?

  • Thanks.

    謝謝。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes, let me answer that EUV question first.

    是的,讓我先回答那個 EUV 問題。

  • Whether it's called 5 nanometer or 7 nanometer, I don't want to go into that nomenclature, because there is a lot of confusion about what is what.

    到底叫 5 納米還是 7 納米,我不想去深究那個術語,因為人們對什麼是什麼存在很多混淆。

  • I'm also not going to tell you what we believe the lithography pitch is, because that's what's probably going to make it easier to understand that we're talking about this same thing.

    我也不打算告訴你我們認為光刻間距是什麼,因為這可能會讓你更容易理解我們在談論同一件事。

  • What is most important -- and that's what we should focus on, is whether you call it 5 or whether you call it 7 -- our customers, our leading-edge customers, make it very clear to us that they will start the output of their chip architectures that need EUV -- whether that's 5 or whether that's 7, and I don't know how they call it, and I don't care -- but when they need EUV for output is 2018 starting; 2018, 2019.

    最重要的是——這也是我們應該關注的,無論你稱之為 5 還是 7——我們的客戶,我們的領先客戶,向我們明確表示他們將開始輸出他們的芯片架構需要 EUV——無論是 5 還是 7,我不知道他們怎麼稱呼它,我不在乎——但是他們需要 EUV 輸出的時間是 2018 年開始; 2018 年,2019 年。

  • Which actually means that our shipments for production purposes need to start in 2017.

    這實際上意味著我們用於生產目的的發貨需要在 2017 年開始。

  • It takes about a year to really qualify for a production run.

    真正有資格進行生產運行大約需要一年時間。

  • So, this is what we are focused on.

    所以,這就是我們關注的重點。

  • This is what we are discussing with our customers.

    這就是我們正在與客戶討論的內容。

  • And this is also driving the decision points and the entry points for our customer for production insertion.

    這也推動了我們客戶進行生產插入的決策點和切入點。

  • It's this 2018-2019 time frame (multiple speakers).

    這是 2018-2019 年的時間範圍(多位發言人)。

  • Pierre Ferragu - Analyst

    Pierre Ferragu - Analyst

  • Okay, and in terms of the volume of insertion, so is that going -- do you have already visibility?

    好的,就插入量而言,這是怎麼回事——你已經有了可見性了嗎?

  • And how high in the architecture of the chip EUV is going to be used?

    以及將在多高的芯片架構中使用 EUV?

  • Is it just going to be the most critical layers, or more than that?

    它只是最關鍵的層,還是更多?

  • Do you have that visibility already, or is that still something that has to be defined?

    您是否已經具有這種可見性,或者仍然需要定義?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes, we have that visibility -- reasonable visibility from our customers.

    是的,我們有這種知名度——來自我們客戶的合理知名度。

  • But it actually leads us to believe what we said in the past that the first year of volume ramp -- and, as you know, with the lengthening of the nodes -- we discussed it a couple of quarters ago -- everything is about 6 to 12 months later than we thought about a year ago.

    但它實際上讓我們相信我們過去所說的,即第一年的銷量增長——而且,正如你所知,隨著節點的延長——我們在幾個季度前討論過——一切都在 6 左右比我們一年前想像的要晚 12 個月。

  • But we believe that the initial year of the production shipment will be a dozen or so tools.

    但我們相信,最初一年的生產出貨量將是十幾個工具。

  • And then this will double every year that we move on.

    然後,隨著我們繼續前進,每年都會翻一番。

  • So, we are still -- all our simulation models still show the same thing.

    所以,我們仍然 - 我們所有的模擬模型仍然顯示相同的東西。

  • So the first year of the production shipments is about a dozen tools.

    所以第一年的生產出貨量大約是十幾個工具。

  • And then it will double the year after that, and double the year after that.

    然後它會在那之後的一年翻一番,然後再翻一番。

  • (multiple speakers) right model.

    (多個揚聲器)正確的模型。

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • And that's related to the gross margin question, Pierre.

    這與毛利率問題有關,皮埃爾。

  • Yes, you are right from the direction in the second half, as logic will be a bigger part of it.

    是的,你在下半場的方向是正確的,因為邏輯將是其中更大的一部分。

  • Directionally, margin goes up.

    從方向上講,保證金上升。

  • Of course, we don't know the exact volume yet, so we can't describe that volume effect; but, directionally, you are right.

    當然,我們還不知道確切的體積,所以我們無法描述體積效應;但是,從方向上來說,你是對的。

  • One caveat: if there's a concentration of EUV revenue recognition in a quarter, you will see some distortion.

    一個警告:如果 EUV 收入確認集中在一個季度,你會看到一些扭曲。

  • But when we get to that bridge, we will explain to you how that works, and show you the margin without EUV as well.

    但是當我們到達那座橋時,我們將向您解釋它是如何工作的,並向您展示沒有 EUV 的餘量。

  • Pierre Ferragu - Analyst

    Pierre Ferragu - Analyst

  • Excellent.

    出色的。

  • Thank you very much.

    非常感謝你。

  • Operator

    Operator

  • Andrew Gardiner.

    安德魯·加德納。

  • Andrew Gardiner - Analyst

    Andrew Gardiner - Analyst

  • Thank you.

    謝謝你。

  • It's Barclays.

    是巴克萊銀行。

  • Good afternoon, guys.

    下午好,伙計們。

  • Just another one on EUV.

    EUV 上的另一個。

  • In terms of the -- looking at the milestones you are targeting for this year, I'm just trying to understand roughly when we might be able to get a better idea as to how you are making progress.

    就您今年設定的里程碑而言,我只是想大致了解一下我們何時可以更好地了解您的進展情況。

  • You seem to be in a bit of a gap at the moment.

    你現在似乎有點落差。

  • Clearly, the customers have the 3300 tools installed and running, and those are a lot of the metrics you are talking about.

    很明顯,客戶已經安裝並運行了 3300 個工具,這些就是您正在談論的很多指標。

  • The 3350s are there, or on their way.

    3350 就在那裡,或者正在路上。

  • So when can we expect to see some of the first news on the 3350 tools at the customer sites?

    那麼我們什麼時候可以在客戶現場看到有關 3350 工具的第一批新聞呢?

  • Is SPIE too early, or is it going to be a bit later than that?

    SPIE 是否太早了,還是會比這晚一點?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • That's a good question.

    這是個好問題。

  • You pointed out the 3350 is really the tool that has the improvements on it that will give us the 1,500 wafers per day and the 80% availability capability.

    您指出 3350 確實是一種經過改進的工具,可以為我們提供每天 1,500 片晶圓和 80% 的可用性能力。

  • Those tools are just starting to ship.

    這些工具才剛剛開始發貨。

  • They need to be installed.

    它們需要安裝。

  • The installation only takes a quarter or three months, which actually makes it too late for any significant information on the SPIE conference, which is in February.

    安裝只需要四分之一或三個月,實際上對於 2 月份的 SPIE 會議上的任何重要信息來說都為時已晚。

  • So it will be around mid-year.

    所以它會在年中左右。

  • That's what you need to focus on.

    這就是你需要關注的。

  • Andrew Gardiner - Analyst

    Andrew Gardiner - Analyst

  • Okay.

    好的。

  • And then just a quick follow-up.

    然後只是快速跟進。

  • You've highlighted the 6 to 7 EUV tool shipments this year.

    您強調了今年 6 到 7 個 EUV 工具的出貨量。

  • Can you give us any sense as to when those are coming?

    你能告訴我們什麼時候會來嗎?

  • Or you haven't really -- I know there's no revenue recognition for those tools.

    或者你真的沒有——我知道這些工具沒有收入確認。

  • But just in terms of the rough timing of shipment to -- for us to gauge when those are leaving the facility.

    但就運送到的粗略時間而言,我們可以衡量這些貨物何時離開設施。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, they are starting to leave the facility this quarter, the first one.

    好吧,他們將在本季度開始離開設施,這是第一個。

  • So it will be throughout the year.

    全年都會如此。

  • There will be tools shipping every quarter.

    每個季度都會有工具發貨。

  • And as to revenue recognition, Wolfgang already said it.

    至於收入確認,沃爾夫岡已經說過了。

  • I gave some clear guidance for the revenue recognition of the tools that we shipped last year, and the one that was in the process of shipping towards the end of the year.

    我為我們去年發貨的工具以及年底發貨過程中的工具的收入確認提供了一些明確的指導。

  • But of the 6 to 7 tools that will ship in this year, there will be some revenue recognition because there's a 3300 in there, which will very likely -- two of them, could be, which will book revenue.

    但在今年將出貨的 6 到 7 個工具中,會有一些收入確認,因為那裡有 3300 個,很可能 - 其中兩個,可能會記入收入。

  • And also on the 3350s, it depends on the commissions or the order conditions, where we can take some revenue already in 2016.

    同樣在 3350 上,這取決於佣金或訂單條件,我們可以在 2016 年獲得一些收入。

  • So that's why Wolfgang said, it's the minimum, and there's very likely going to be EUV revenue on top of that in the course of the year.

    所以這就是為什麼沃爾夫岡說,這是最低限度,而且很可能在這一年中還會有 EUV 收入。

  • Andrew Gardiner - Analyst

    Andrew Gardiner - Analyst

  • Understood.

    明白了。

  • Thanks very much, guys.

    非常感謝,伙計們。

  • Operator

    Operator

  • Francois Meunier.

    弗朗索瓦·默尼耶。

  • Francois Meunier - Analyst

    Francois Meunier - Analyst

  • I just wanted to have some technical details about this 3350B that you are currently running in your factory.

    我只是想了解您目前在工廠中運行的這台 3350B 的一些技術細節。

  • And first, congratulations for achieving the 1,250 wafers per day in Q4.

    首先,祝賀您在第四季度實現了每天 1,250 片晶圓。

  • That's a great achievement.

    這是一個偉大的成就。

  • What is, or what was, the availability of this machine actually during Q4?

    這台機器在第四季度的實際可用性是多少?

  • And maybe if you could give us some details about the laser which was used, if it was a 120 watts or a different laser source for EUV?

    也許你能給我們一些關於使用的激光的細節,如果它是 120 瓦或不同的 EUV 激光源?

  • That's the first question.

    這是第一個問題。

  • The second question is -- I know it's a bit cheeky, but it's good to announce the EUR1 billion share buyback.

    第二個問題是——我知道這有點厚顏無恥,但宣布 10 億歐元的股票回購是件好事。

  • But why not more, given the progress made by EUV [adornment] and your confidence in the 2020 target of EUR10 billion revenues?

    但為什麼不更多,鑑於 EUV [裝飾] 取得的進展以及您對 2020 年 100 億歐元收入目標的信心?

  • Thank you.

    謝謝你。

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • Well, I'll start with the second part, and then Peter the first part.

    好吧,我將從第二部分開始,然後是第一部分。

  • Well, this is just another layer.

    好吧,這只是另一層。

  • We have a very clearly stated financial policy that says we evaluate what our minimum cash needs are, then we service the dividend first; that we want to have at least stable, preferably growing.

    我們有一個非常明確的財務政策,說我們評估我們的最低現金需求是多少,然後我們首先支付股息;我們希望至少保持穩定,最好是增長。

  • Last six years, we have always been growing it.

    過去六年,我們一直在發展它。

  • And then all the balance will go towards share buybacks.

    然後所有餘額將用於股票回購。

  • Now, we just roll out layers of these share buybacks.

    現在,我們只是推出了這些股票回購的層次。

  • And as soon as we have used up the money, we will introduce the next layer of (technical difficulty) be concerned by that at all.

    一旦我們用完了錢,我們就會引入下一層(技術難度)完全不關心的。

  • We're executing and the financial policy.

    我們正在執行財務政策。

  • And as we generate the free cash flow, once we are through the remaining 1.5, you should expect us to announce the next tranche.

    當我們產生自由現金流時,一旦我們完成了剩餘的 1.5,您應該期待我們宣布下一批。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • On your question on the technical details of the 3350, well, we started shipping the 3350 in our factory, and we measure availability at that customer sites.

    關於您關於 3350 技術細節的問題,嗯,我們開始在我們的工廠運送 3350,並且我們會測量該客戶現場的可用性。

  • While we haven't installed it yet and are running it at the customer sites, so it would be a bit difficult to give you an answer there.

    雖然我們還沒有安裝它並在客戶站點運行它,但在那裡給您答案有點困難。

  • It's too early.

    太早了。

  • Also to the question of -- there's an earlier question: when are we going to see data on availability and wafer spread per day?

    還有一個問題 - 有一個較早的問題:我們什麼時候才能看到每天的可用性和晶圓傳播數據?

  • Probably more towards the middle of the year.

    可能更接近年中。

  • So the technical details -- but there are some features in the 3350, like the in situ cleaning and some other features, that give us the confidence that we should have a higher availability and also a higher throughput.

    所以技術細節 - 但 3350 中有一些功能,如原位清潔和其他一些功能,讓我們相信我們應該擁有更高的可用性和更高的吞吐量。

  • Not so much because we have a stronger laser; the laser is the same, but more because of the transparency of the illuminator and the optics that gives us a better transmission.

    倒不是因為我們有更強的激光;激光是相同的,但更多的是因為照明器和光學器件的透明度使我們能夠更好地傳輸。

  • And that's why we get better throughput.

    這就是我們獲得更好吞吐量的原因。

  • Francois Meunier - Analyst

    Francois Meunier - Analyst

  • Okay.

    好的。

  • Thank you.

    謝謝你。

  • Operator

    Operator

  • Mr. Ahmad.

    艾哈邁德先生。

  • Farhan Ahmad - Analyst

    Farhan Ahmad - Analyst

  • Hello, this is Farhan Ahmad from Credit Suisse.

    大家好,我是瑞士信貸的 Farhan Ahmad。

  • Thanks for taking my question.

    感謝您提出我的問題。

  • Peter, my first question is regarding 3D NAND.

    彼得,我的第一個問題是關於 3D NAND。

  • I just wanted to make sure I understand correctly, relative to your last call, it seems like you are up-ticking on the overall NAND CapEx.

    我只是想確保我理解正確,相對於你上次的電話,你似乎在提高整體 NAND 資本支出。

  • And I wanted to understand whether the linearity of the CapEx is also more weighted like the DRAM CapEx in the first half, or do you expect the NAND CapEx to be more evenly split throughout the year?

    而且我想了解上半年CapEx的線性是否也像DRAM CapEx一樣更受重視,或者您是否期望NAND CapEx在全年分配得更平均?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, the 3D NAND guidance is up as compared to last quarter, where we thought we were going to be down in this year.

    嗯,與上個季度相比,3D NAND 指導有所上升,我們認為今年我們會下降。

  • But that's because we have this new refurb fab of 3D NAND in China.

    但那是因為我們在中國有這個新的 3D NAND 翻新工廠。

  • That actually came up.

    那確實出現了。

  • And it's relatively flat throughout the year, so it's not a big bias to one or the other half.

    而且一年四季都比較平淡,所以對其中一方或另一半來說並不是很大的偏見。

  • Farhan Ahmad - Analyst

    Farhan Ahmad - Analyst

  • Got it.

    知道了。

  • And then relative to your NXE:3350 systems, they are supposed to get you to about 80% availability and 1,500 wafers per day.

    然後相對於您的 NXE:3350 系統,它們應該讓您達到大約 80% 的可用性和每天 1,500 個晶圓。

  • Where do you see the performance in the lab today?

    您今天在哪裡看到實驗室的性能?

  • And what should we expect when the tools are at the customer's site?

    當工具在客戶現場時,我們應該期待什麼?

  • Should we start getting like 1,500 wafers per day from the get-go, or will that take some time to get demonstrated at the customer sites?

    我們應該從一開始就開始每天生產 1,500 片晶圓,還是需要一些時間才能在客戶現場進行演示?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, what customers will actually do, because they are very expensive machines, they will always ramp these tools slowly.

    好吧,客戶實際上會做什麼,因為它們是非常昂貴的機器,他們總是會慢慢地增加這些工具。

  • They go through a process of qualification, and then they will start increasing the power; they will start increasing -- with increasing the power, they will get more wafers.

    他們要經過一個資格認證的過程,然後他們會開始增加力量;他們將開始增加——隨著功率的增加,他們將獲得更多的晶圓。

  • So it's going to be through cycles of learning.

    所以這將是通過學習的循環。

  • So, it is not to be expected that they will go gung-ho from day one.

    因此,不要指望他們從第一天起就會全力以赴。

  • They will probably say, let's start where the 3300 left off, and then they are going to gradually increase.

    他們可能會說,讓我們從 3300 停止的地方開始吧,然後他們將逐漸增加。

  • Farhan Ahmad - Analyst

    Farhan Ahmad - Analyst

  • Got it.

    知道了。

  • Thank you.

    謝謝你。

  • That's all I had.

    這就是我的全部。

  • Operator

    Operator

  • Patrick Ho.

    帕特里克·何。

  • Patrick Ho - Analyst

    Patrick Ho - Analyst

  • Stifel Nicolaus.

    斯蒂菲爾·尼古拉斯。

  • Peter, just in terms of the EUV insertion at the 7 nanometer logic node, do you believe the change in cadence with their tick-tock process -- do you believe that buys you a little more time, in terms of guaranteeing the insertion for high-volume production at 7 nanometers?

    Peter,就 7 納米邏輯節點的 EUV 插入而言,您是否相信他們的滴答過程中節奏的變化——您是否相信這會為您贏得更多時間,以保證插入高-7 納米量產?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, I don't think they are that related.

    好吧,我認為他們沒有那麼相關。

  • Customers have their plans, and whether they follow cadence A or cadence B. What they tell us is that they need their production output in a certain period, in a certain year, which is starting (technical difficulty) and in 2018.

    客戶有他們的計劃,他們是按照cadence A還是cadence B。他們告訴我們他們需要他們在某個時期,某個年份,開始(技術難度)和2018年的產量。

  • And then you just calculate back, using the cycle time that we need.

    然後您只需使用我們需要的周期時間進行計算。

  • And then we come to a moment where we need to start shipping the tool.

    然後我們到了需要開始運送工具的時刻。

  • And what ultimately drives the decision of the customer to say, I need that output in 2018 or 2019 -- there are many, many reasons.

    最終促使客戶決定說,我需要 2018 年或 2019 年的輸出——有很多很多原因。

  • It is not only cadence.

    這不僅僅是節奏。

  • It is also time that they need to actually develop that next node.

    現在也是他們需要實際開發下一個節點的時候了。

  • And, for us, it's not that relevant.

    而且,對我們來說,它並不那麼重要。

  • Most relevant is when do they need the tool?

    最相關的是他們什麼時候需要該工具?

  • When do they tell us that they need the tool?

    他們什麼時候告訴我們他們需要這個工具?

  • And that's [for] 2018-2019 output, 2017 shipment, starting for us.

    這是 [for] 2018-2019 輸出,2017 年發貨,從我們開始。

  • Patrick Ho - Analyst

    Patrick Ho - Analyst

  • Right.

    正確的。

  • And my follow-up question, in terms of the holistic lithography growth that you've seen over the last few years, how do you project the growth first in 2016 and maybe over the next couple of years?

    我的後續問題是,就您在過去幾年中看到的整體光刻技術增長而言,您如何預測 2016 年以及未來幾年的增長?

  • Given that it's gotten strong adoption, do you see the growth rates tapering out somewhat?

    鑑於它得到了廣泛的採用,您是否看到增長率有所下降?

  • Or where do you expect to continue to see that growth in that segment of your business?

    或者您希望在哪些方面繼續看到您業務的該部分的增長?

  • Wolfgang Nickl - EVP and CFO

    Wolfgang Nickl - EVP and CFO

  • Yes, so, in 2014, our holistic lithography business was just over EUR500 million.

    是的,所以,在 2014 年,我們的整體光刻業務剛剛超過 5 億歐元。

  • Last year, it was over EUR600 million, so it's growing nicely.

    去年,它超過 6 億歐元,因此增長良好。

  • We've previously talked about by 2017, 2018, we want to be at EUR1 billion for this business.

    我們之前談過到 2017 年、2018 年,我們希望這項業務達到 10 億歐元。

  • And as a reminder, to a very large degree, a software type of business with very healthy gross margins, only the yields start being the hardware there.

    提醒一下,在很大程度上,一種毛利率非常健康的軟件類型的業務,只有收益率開始成為那裡的硬件。

  • And then you can anticipate that it will continue to grow going into our 2020 plan.

    然後你可以預期它將繼續增長進入我們的 2020 年計劃。

  • It's part of helping us on the accretion of the gross margin to a 50% level.

    這是幫助我們將毛利率提高到 50% 水平的一部分。

  • And we have also started to see, and reported back to you, that customers are evaluating some of the software features for EUV, as well.

    我們也開始看到並向您報告,客戶也在評估 EUV 的一些軟件功能。

  • So it's not going to go away when EUV is coming in.

    所以當 EUV 進來時它不會消失。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes, and I think just to add to this, I think on the total service end option sales, which includes holistic litho that Wolfgang referred to, in our model we see that growing from the EUR2 billion where we are today to the EUR3 billion to EUR3.5 billion by that time, by 2020.

    是的,我想補充一點,我認為在我們的模型中,我們看到從我們今天的 20 億歐元增長到 30 億歐元到到 2020 年將達到 35 億歐元。

  • Patrick Ho - Analyst

    Patrick Ho - Analyst

  • Great.

    偉大的。

  • Thank you.

    謝謝你。

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Excuse me.

    打擾一下。

  • Ladies and gentlemen, we have time for one last call.

    女士們先生們,我們還有時間進行最後一次通話。

  • So if you were unable to get through on this call and still have questions, please feel free to contact the Investor Relations department with your question, and we'll get back to you soon as we can.

    因此,如果您無法接通此電話並且仍有疑問,請隨時聯繫投資者關係部門提出您的問題,我們會盡快回复您。

  • Now, Arran, can we have the last caller please?

    現在,Arran,我們可以請最後一位來電者嗎?

  • Operator

    Operator

  • Timothy Arcuri.

    蒂莫西·阿庫裡。

  • Timothy Arcuri - Analyst

    Timothy Arcuri - Analyst

  • Cowen and Company, thanks.

    考恩公司,謝謝。

  • I had two questions.

    我有兩個問題。

  • I guess first of all, Peter, just on the overall 2016 outlook -- I know you said that DRAM is going to be down a lot, NAND is flat, and logic is up a lot due to 10 nanometer.

    我想首先,彼得,關於 2016 年的整體前景——我知道你說過 DRAM 會下降很多,NAND 持平,而邏輯由於 10 納米而上升很多。

  • So, where do you and Wolfgang think that that leaves us for the year?

    那麼,您和 Wolfgang 認為我們這一年將何去何從?

  • Obviously it's going to be up, but how much?

    顯然會漲,但是漲多少呢?

  • Is up 10 a comfortable number for the year, at least?

    至少 10 年是一個舒適的數字嗎?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Well, we're all well-trained accountants.

    好吧,我們都是訓練有素的會計師。

  • So if you take 2015, and I would give you a percentage up, we would guide you for 2016, which we said we weren't going to do.

    所以如果你選擇 2015 年,我會給你一個百分比,我們會指導你 2016 年,我們說過我們不會這樣做。

  • So, unfortunately, we have to stick to what we call this qualitative guidance.

    因此,不幸的是,我們必須堅持所謂的定性指導。

  • And I think throughout the year we get -- there's a better feel for how the back end of the year is developing, and really talk about really the Q4 back end of 2016.

    而且我認為全年我們得到 - 對今年後端的發展有更好的感覺,並且真正談論 2016 年第四季度後端。

  • Timothy Arcuri - Analyst

    Timothy Arcuri - Analyst

  • Okay.

    好的。

  • And then just to follow up on China, I know that there was some questions asked about this.

    然後只是跟進中國,我知道有人問了一些關於這個的問題。

  • But the big order number of roughly $500 million -- sounds like this is really 3D NAND.

    但是大約 5 億美元的大訂單——聽起來這真的是 3D NAND。

  • But if I divide the numbers, that suggests it's like 120,000 of wafer starts worth of capacity.

    但如果我除以這些數字,這表明它的產能大約為 120,000 片晶圓。

  • And we know that the Korea fab in China is full, and the only fab this big is the one that's still searching for a technology partner.

    我們知道韓國在中國的晶圓廠已經爆滿,唯一一家這麼大的晶圓廠還在尋找技術合作夥伴。

  • I think the fab you talked about in the prepared remarks was half this size.

    我認為你在準備好的評論中談到的晶圓廠是這個尺寸的一半。

  • So are we seeing orders for this other fab that is still looking for a technology partner?

    那麼我們是否看到了另一家仍在尋找技術合作夥伴的晶圓廠的訂單?

  • Or is my math not right?

    還是我的數學不對?

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Let me make one thing clear: China is not only 3D NAND.

    讓我澄清一件事:中國不僅僅是3D NAND。

  • That's a new feature of the Chinese market, on this particular refurb fab.

    這是中國市場的一個新特點,在這個特殊的翻新工廠。

  • But it's only part of the story.

    但這只是故事的一部分。

  • There's also Chinese foundries in there.

    那裡也有中國鑄造廠。

  • So there's also onesies and twosies shipment to a fab that are almost full.

    因此,也有連體衣和雙人裝運往一家幾乎已滿的晶圓廠。

  • So it's a mixed bag.

    所以這是一個混合包。

  • It's definitely not only 3D NAND.

    絕對不僅僅是3D NAND。

  • Timothy Arcuri - Analyst

    Timothy Arcuri - Analyst

  • Okay.

    好的。

  • Thanks so much.

    非常感謝。

  • Peter Wennink - President and CEO

    Peter Wennink - President and CEO

  • Yes, okay.

    是的,好的。

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Well, thank you, everybody.

    嗯,謝謝大家。

  • On behalf of ASML's Board and management, I'd like to thank you for joining us on the call today.

    我代表 ASML 的董事會和管理層,感謝您今天加入我們的電話會議。

  • So, operator, if you could formerly close the call, we'd appreciate it.

    所以,接線員,如果你能提前結束通話,我們將不勝感激。

  • Thank you.

    謝謝你。

  • Operator

    Operator

  • Ladies and gentlemen, this concludes the ASML 2015 fourth-quarter and annual results conference call.

    女士們,先生們,ASML 2015 年第四季度和年度業績電話會議到此結束。

  • Thank you for participating.

    感謝您的參與。

  • You may now disconnect your line.

    您現在可以斷開線路。