艾司摩爾 (ASML) 2015 Q1 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

  • Ladies and gentlemen, thank you for standing by.

  • Welcome to the ASML first-quarter results conference call on April 15, 2015.

  • Throughout today's introduction, all participants will be in a listen-only mode.

  • After ASML's introduction, there will be an opportunity to ask questions.

  • (Operator Instructions) Now I would like to turn the conference over to Mr. Craig DeYoung.

  • Go ahead, please, sir.

  • Craig DeYoung - VP, IR Worldwide

  • Thank you, Peter, and good afternoon and good morning, ladies and gentlemen.

  • This is Craig DeYoung, Vice President of Investor Relations at ASML.

  • Joining me today from our headquarters in Veldhoven, the Netherlands, is ASML's CEO, Peter Wennink, and our CFO, Wolfgang Nickl.

  • The subject of today's call is ASML's first-quarter 2015 results.

  • This call is also being broadcast live over the Internet at ASML.com, and a replay of the call will be available on our website for approximately 90 days.

  • Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities laws.

  • These forward-looking statements involve material risks and uncertainties.

  • For a discussion of risk factors, I encourage you to review the Safe Harbor statements contained in today's press release and presentation found on our website, ASML.com, and in ASML's annual report on Form 20-F and other documents as filed Securities and Exchange Commission.

  • As a reminder, the length of the call today is 60 minutes.

  • And now I'd like to turn the call over to Peter Wennink for a brief introduction.

  • Peter Wennink - President and CEO

  • Thank you, Craig.

  • Good morning, good afternoon, ladies and gentlemen, and thank you for joining us for our first-quarter 2015 results conference call.

  • Before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and add some commentary on the first quarter and provide our views of the coming quarters.

  • And Wolfgang will start with a review of the first-quarter financial performance, with added comments on our short-term outlook.

  • And I will complete the introduction with some further comments on the current general business environment and our future business outlook.

  • So, Wolfgang, if you will.

  • Wolfgang Nickl - EVP and CFO

  • Thank you, Peter, and welcome, everyone.

  • In Q1, our net sales came in at EUR1.65 billion, which was in line with our guidance and driven as expected by a nice balance of memory and logic sales.

  • Memory represented 55% and logic represented 45% of system sales.

  • This compares to 65% and 35% in the December quarter respectively.

  • Service and field option sales came in at EUR403 million, very similar to last quarter.

  • Gross margin for the quarter increased from 44% in the December quarter to 47.2% in Q1 and was in line with our guidance.

  • The increase in gross margin was enabled by volume-driven cost effects and a product mix which included no EUV system sales for the quarter.

  • R&D expenses came in at EUR261 million and SG&A expenses came in at EUR82 million, both in line with guidance despite a strengthening of the US dollar throughout the quarter.

  • Our effective tax rate for the quarter was approximately 11% of pre-tax income.

  • Turning to the balance sheet, quarter-over-quarter cash, cash equivalents, and short-term investments grew to EUR2.84 billion from EUR2.75 billion at the end of the prior quarter.

  • Regarding the order book, our Q1 systems bookings came in above the EUR1 billion mark.

  • We did not book any additional NXE:3350 systems during the quarter.

  • We saw a shift back towards memory in Q1, representing 53% of bookings versus 27% of bookings in Q4.

  • We finished the quarter with a solid overall backlog of EUR2.6 billion, nicely balanced between memory and logic.

  • With that, I would like to now turn to our expectations and guidance for Q2 and share an initial qualitative view on the balance of 2015.

  • As mentioned, we ended Q2 with a strong and nicely balanced backlog.

  • From this, we expect strength in system sales in both memory and logic in the quarter.

  • Adding continued strong service and field option sales expectations supports a net sales guidance of around EUR1.6 billion for Q2.

  • This will leave the first half of 2015 growing over the second half of 2014 as previously forecasted.

  • Our Q2 net sales guidance includes revenue recognition of one NXE:3300 system which we shipped in Q1.

  • We expect gross margin for Q2 to be around 45%.

  • The difference from Q1 is largely driven by the recognition of one NXE:3300 EUV system in net sales.

  • R&D expenses for the second quarter will be about EUR265 million, and SG&A is expected at about EUR85 million.

  • As to the rest of 2015, we do expect that most of the forecasted DRAM memory bit demand will be satisfied with first half-year litho shipments, leaving memory shipments to taper into the second half of the year.

  • We expect stable foundry business throughout 2015 in support of the current FinFET node ramps and next-node development.

  • Our service and field option sales will likely increase over the coming quarters as we benefit from increased adoption of our holistic lithography products and the purchase of system node enhancement packages supporting customer node migration.

  • We expect this part of our business to reach EUR500 million a quarter exiting the year.

  • Peter will talk more about EUV shortly, but I would like to make a few points regarding 2015 EUV shipments.

  • As most listeners are aware, we continue to show great progress in improving on key EUV performance metrics related to productivity and availability, with unchanged targets of improving both further throughout this year.

  • Meanwhile, we continue to discuss the exact need and timing of potential NXE:3300 upgrades to NXE:3350 light configurations of three pre-paid systems on order.

  • Depending on the outcome of these discussions, we may ship these tools as NXE:3300 systems in 2015 or as upgraded systems in 2016.

  • We hope that these discussions with our customers, including agreed-upon configuration and shipment timing, will be concluded within this quarter.

  • In addition, we continue in-depth in direction with multiple customers on the planned 2015 shipment of 4 NXE:3350's in addition to the 2 systems already on order.

  • I would like to take a moment now to proactively address what has become a commonly asked question of late, and that is how do changing exchange rates impact our business.

  • Firstly, we contract the majority of our business in euro, and we have not changed our pricing as a result of currency fluctuations.

  • Therefore, the recent strengthening of the US dollar but also the relative strength of Asian currencies have a positive effect on our customer capital spending compared to their original budgets.

  • We also have some of our service business including our final light source business quoted in foreign currencies.

  • And for that portion of our business, we have a positive translation effect in our P&L.

  • Secondly, we do originate a substantial part of our operating spending from the United States, where we employ approximately 3,100 professionals in development, field service, and manufacturing of sources and parts for NXT and NXE systems.

  • This spending weighs in heavier in our P&L when translated into euros.

  • Net net, and despite our hedging programs, the disadvantage from our foreign currency base spend is larger than the advantage from our non-euro denominated sales.

  • For the first quarter, the unfavorable impact on our net margin was less than one percentage point.

  • Finally, as an update on our capital return program, next week at our 2015 annual general shareholders meeting, shareholders will vote on our proposal to increase our dividend per ordinary share for 2014 by 15% to EUR0.70 per share.

  • As to our 2015/2016 buyback program announced in Q1, we saw the first repurchases totaling EUR129 million for the quarter.

  • Now with that, I'd like to turn the call back over to Peter.

  • Peter Wennink - President and CEO

  • Thank you, Wolfgang.

  • As Wolfgang highlighted, the expectation that our strong second half of last year would continue into the first half of this year has been confirmed by our first-quarter results.

  • And our second-quarter guidance, which is supported by continued memory spend, has significant increase in the logic sector as compared to last year.

  • We expect that our shipments to the DRAM sector will be healthy in 2015 and show an increase as compared to 2014.

  • However, with shipment strength continuing in Q2, we expect that 2015 sales to DRAM will be weighted to the first half.

  • And limited litho tool purchases will support continued NAND planar strengths this year.

  • And although all NAND players have 3-D NAND process development programs underway, likely bringing samples to the market in the second half of this year, we do not expect significant volume capacity additions in 2015.

  • We continue to see the logic sector working essentially on three lithography nodes at the same time.

  • Capacity additions continue at some foundries at the 28-nanometer node.

  • But at the same time, we see some customers converting 28-nanometer capacity to 16/14-nanometer capacity, driven by our capability to upgrade tools from node to node.

  • And this is helping our customers to manage capital efficiency at the most advanced nodes.

  • The 16/14 nanometer node is moving into volume at all leading foundries, while most advanced foundries are also fully engaged in process development at the 10-nanometer node.

  • Meanwhile, MPU microprocessors is starting the transition to the 10-nanometer node in this calendar year.

  • And therefore, we see logic shipments as relatively stable quarter on quarter through the year across the combination of the 28-nanometer, 16-nanometer, 14-nanometer, and 10-nanometer node.

  • Touching on a couple of things mentioned by Wolfgang, we are seeing an increase in demand for our holistic lithography products as well as our field upgrades.

  • Given that the current advanced logic processes are driving increased multiple patterning, the requirements for tighter system overlay or alignment is increasing substantially.

  • This in turn is driving our process control product sales on things like our YieldStar metrology products and associated process management software as well as overlay focus and household products for our NXT:1970 product.

  • On top of this, we have seen first orders for our next-generation TWINSCAN NXT:1980, supporting next-node requirements.

  • As mentioned earlier, our customers are increasingly confronted with higher capital requirements in semiconductor manufacturing, forcing them to focus on improving capital efficiency.

  • We think we are uniquely positioned to help our customers in execution of their capital efficiency programs by providing lithography system node enhancement packages supporting each customer's node migrations through significant system upgrades.

  • This in turn provides us with an additional sales stream next to new system sales opportunities.

  • Regarding EUV, we continue to demonstrate real progress against our targets in source power and systems availability, which are the two key components of our wafer-per-day program.

  • One customer has already demonstrated wafer-per-day performance at the level of our 2015 target of 1,000 wafers per day, and we are now in the process of upgrading several systems to an 80-watt configuration in order to demonstrate similar wafer-per-day performance across the EUV installed base.

  • The first two orders for our fourth-generation NXE:3350 EUV tools are in our backlog.

  • Output planning has the first systems shipping midyear, with a new high-power drive (inaudible) system and in situ collect to cleaning capability.

  • Meanwhile, we are having extensive discussions and making good progress with several customers on the sale of additional systems in 2015 and beyond.

  • The EUV adoption curve will be driven by the continued progress we will make on the key EUV performance metrics.

  • The 2015 target levels of 1,000 wafers per day, a minimum of 70% availability, and the synchronization to our customers' roadmaps, which are different per customer, combined with the customer confidence in us reaching the 2016 performance targets will drive EUV demand for the next couple of years.

  • In order to deal with this demand, we are developing a production plan for 2016 of one EUV system per month supporting a ramp-up to a 24-system manufacturing plan for 2017 and doubling that again in 2018.

  • Now, with that, we would be happy to take your questions.

  • Craig DeYoung - VP, IR Worldwide

  • Thanks, Peter.

  • Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session.

  • Beforehand, I'd like to ask, as I always do, to have you kindly limit your question to one question with one short follow-up if necessary.

  • And this will allow us to get in as many callers as possible.

  • Now, Peter, could we have your instructions and then the first question please?

  • Operator

  • (Operator Instructions) Kai Korschelt.

  • Kai Korschelt - Analyst

  • It's Merrill Lynch.

  • I had a couple on memory.

  • The first one, could you give us an update on the 20-nanometer conversion?

  • From an industry perspective, it looks like that's benefited you for a while.

  • So I just wanted to ask sort of which inning you thought we are here.

  • And then the second question is, some of your memory customers have announced new fabs or new factories to get built.

  • Roughly, when would you expect to benefit from the deployment in other equipment?

  • Thank you.

  • Peter Wennink - President and CEO

  • Okay.

  • On the 20-nanometer conversion, at the low 20 nanometers -- that's in full swing.

  • We are moving towards the end of the year to the lower nodes.

  • That's end of the year, early 2016 to what I would say just under the 20-nanometer node.

  • So this is -- by the way, has been a main driver of the 20-nanometer node for our shipments over the last couple of quarters.

  • New fabs -- yes, there are new fabs.

  • We have 2 fabs in Korea.

  • One fab opened last year.

  • Another will open in the middle of this year.

  • So shipments clearly in Q1 and Q2 are for the fabs that opened last year.

  • And the second half of the year are part of the orders that we received in Q1 are for shipments to and 14, which is a factory of one of our customers, Hynix.

  • So throughout the year we will be shipping tools to those new fabs.

  • Craig DeYoung - VP, IR Worldwide

  • Yes, next call I think.

  • Peter Wennink - President and CEO

  • Kai?

  • Kai Korschelt - Analyst

  • I was actually wondering about some of the new fab projects you are more looking into next year, whether you had any visibility on those yet.

  • Peter Wennink - President and CEO

  • Well, we have the same visibility as you have as public announcements of those extensions as we all know in Japan are being worked on a NAND extension.

  • There's been an extension being worked on in Singapore but those are too far away to reflect in our order book today.

  • But those are clearly promises and that's good news.

  • Kai Korschelt - Analyst

  • Okay.

  • Thank you.

  • Operator

  • C.J. Muse.

  • C.J. Muse - Analyst

  • I guess first question -- you highlighted initial order at the 10-nanometer node from foundries.

  • Curious based on that what you can read in terms of litho intensity there versus 20, 16, and 14.

  • Peter Wennink - President and CEO

  • Yes, the node-to-node litho intensity is about 40% -- 40% to 50%.

  • And that also means that you will see also at that 10-nanometer node customers focusing on what I referred to in the introductory statements that how much of the installed base can be reused or can be upgraded to the next node.

  • So partly, part of that litho intensity will be covered by new system sales and another part will be covered by the -- I would say upgrade of an installed base from one node to the other, which in fact for us is pretty good business.

  • So, overall, about 40% to 50% higher litho intensity node for node.

  • C.J. Muse - Analyst

  • Okay, great.

  • And I guess as my follow-up, as you think about mix in the back half of the year being more levered to logic versus memory, all else equal, plus your service and spares business moving higher throughout the year, I'm curious if you could talk about the impact of that higher-margin service spares plus presumably higher ASPs, particularly on the emerging front and what that will do for your gross margins through the year.

  • Peter Wennink - President and CEO

  • Well, we're not going to give you gross margin guidance for the remainder of the year.

  • But it is true that the leading-edge logic shipments have a richer configuration, which has more holistic lithography options included, which would provide us with higher margin.

  • And also margin of the service and the system upgrade business is also healthy.

  • And is, in some instances, especially where it concerns software, of course higher than the corporate average margin.

  • So I think it is -- the margin profile favors clearly high-end logic shipments and also favors some of these software packages that we are shipping.

  • But too early to give you detailed margin guidance.

  • C.J. Muse - Analyst

  • Okay, great.

  • Thank you.

  • Operator

  • Amit Harchandani.

  • Amit Harchandani

  • Amit Harchandani from Citigroup.

  • Thanks for taking my questions.

  • Two, if I may, centered around EUV.

  • Firstly, could you maybe give us a little more clarity or a little more insight into the kind of discussions that you're having with your customers with regards to production orders, kind of inquiries you get, whether they are across sectors or limited to only logic?

  • And secondly, as a follow-up, you've talked about there potentially being a requirement where even below 1,500 wafers per day would be enough to drive insertion of EUE into full-time production.

  • Maybe you could clarify a little more on that.

  • Do you see more of such applications emerging over time?

  • And how should we think about insertion and production?

  • Peter Wennink - President and CEO

  • On the first question, well I can give you some more detail on discussions with customers.

  • Unfortunately, I cannot do that.

  • But I can tell you it's cross sector.

  • It's not only logic, so we are engaging with almost every major customer in deep discussions about the insertion point of EUV and about the potential orders.

  • So it's definitely not only in the logic space.

  • Although, if it would refer to one of the slides in our slide pack, it is true that logic in terms of pilot production is the first to put EUV into pilot production.

  • And memory could follow one -- and you could argue for a NAND two to three years later.

  • But logic is definitely starting, but we are engaging in discussions with customers across all sectors.

  • On the 1,500 wafers per day, when you think about the cost per wafer, cost per function, cost per fit, however you want to call it, we always looked at the cost as a function of productivity.

  • As you know, in our emerging products we are driving productivity up, so the cost per wafer, cost per bit, or cost impression goes down.

  • Now, next to that, on EUV there are other customer benefits.

  • It's particularly the reduction of the process complexity, the increased design flexibility.

  • But also the shorter cycle times, which is a big issue if you go through multiple patterning and you have, on some critical layers, 7 to 9 or even 10 passes.

  • Which you can replace this with much less or much lower number of passes where you use EUV.

  • It's a massive impact on your cycle time.

  • That means that there are other economic drivers that will force customers to very, very seriously look into the application of EUV.

  • And that is why for certain customers, depending on their roadmap and depending on their design complexity, 1,500 wafers per day is kind of a general statement, but for some of them it could indeed be lower.

  • Amit Harchandani

  • Thank you.

  • Operator

  • Sandeep Deshpande.

  • Sandeep Deshpande - Analyst

  • Sandeep Deshpande from JPMorgan.

  • My first question is regarding EUV as well.

  • I mean, Peter, you're upgrading some customers to the 80-watt laser at the moment.

  • Would you say at this point -- based on what you've announced on EUV, your EUV program seems to be running ahead of what you had previously guided the market to.

  • So would you be saying that at this point that you're much, much more confident that EUV is now going to be production-worthy by the end of this year for the 10-nanometer node next year?

  • And secondly, some of your customers are doing 10 nanometers without using EUV initially.

  • How do you see lithography intensity increasing for that node given that it will require not double patterning but multi-layer patterning to be able to achieve that?

  • And are you going to see orders for that this year or next year?

  • Thanks.

  • Peter Wennink - President and CEO

  • The last question that you asked, is that focused on the 10-nanometer question?

  • Sandeep Deshpande - Analyst

  • Yes, 10 nanometers.

  • Peter Wennink - President and CEO

  • 10 nanometers.

  • Yes, the 80-watt upgrades, we are rolling that out over the installed base because that's a promise to our customers.

  • And actually, the more than 1,000 wafers per day achievement was done on the 80-watt configuration.

  • And we would like to give every customer access to that capability.

  • Having said that, the two of the customers are focusing on to put into production is the 3350 because the 3350 with the higher-powered drive laser and the in situ cleaning capability has -- will give our customers the ability to output more wafers and to have a higher availability or a higher uptime.

  • And this is what, of course, puts the machines into production is what they really want.

  • So the 3350, if you can do, you could argue as you can do 1,000 wafers per day on the 3300, then you should be able to do this on the 3350.

  • And this is where our confidence that we will reach our 2015 targets is, of course, based on the 3300 achievement and our ability to at least copy that onto the 3350 but to the next layer of performance on top of that.

  • So this is why we feel confident, and it is also why the intensity with which we are talking to customers has gone up.

  • Now, on the 10-nanometer node, the logic node, largely I think that default solutions that our customers have in their production plants is on multiple patterning.

  • And the late insertion in 10 nanometer of EUV will be driven by our ability to show them that the 3350 is indeed a pilot production-worthy tool.

  • Now, as the first part of my answer, I think our confidence has gone up based on the performance of the 3300.

  • But the default solution is multiple patterning.

  • We have to realize that those designs were finished some time ago.

  • When you go into pilot production at the end of the year, it means that those design decisions were at the end of last year.

  • And at the end of last year we were not yet at the EUV performance levels that we are actually in today.

  • So that means that N10 default starts with immersion.

  • And one of the earlier callers asked the question how much more litho intensity will it give?

  • Well, between 40% and 50%, which part of that requirement will be filled in by our upgrade business.

  • Is that based on it?

  • Sandeep Deshpande - Analyst

  • Thank you.

  • Operator

  • Jerome Ramel.

  • Jerome Ramel - Analyst

  • Jerome Ramel from Exane BNP Paribas.

  • A question, Peter.

  • How big do you see the 16/14 nanometer node.

  • Would it be in terms of wafer starts per month?

  • Peter Wennink - President and CEO

  • That's a very interesting question.

  • I tried to make that clear in the introductory statements.

  • We are in a pretty unique situation.

  • Since I've been with the Company, I've never seen a situation whereby we are shipping to our logic customers (inaudible) when I say logic customers effectively in three nodes.

  • I've always seen that one node ends and the other node starts, and then you see all shipments moving from one node that ends to the next node.

  • And then it was much easier to say everything that we are shipping is obviously for that next node.

  • What we are currently seeing is we're seeing shipments of 28-nanometer node, which we are seeing capacity being taken out of 28 nanometer, being reused in 14-nanometer and 16-nanometer FinFETs, and we are seeing 10-nanometer capacity being built up.

  • For us, it is a pretty opaque picture to give you -- so it's very difficult for us to say how much installed capacity are we shipping through the warp node.

  • Because of the reuse capability, the fact that we have three nodes now shipping at the same time, I don't dare to give you a specific installed wafer -- wafer out per month capacity.

  • I'm sorry about that, but it is what it is.

  • It's a bit convoluted.

  • But the only thing that we do see is that we have a stable logic business.

  • A stable logic business over the three nodes, and that the intensity and the need for DUV immersion leading-edge solutions is going up because of the higher litho intensity.

  • So, difficult to answer your question, so I'm not going to guess.

  • But the only thing I'm going to say that logic business is strong, healthy, and stable.

  • Jerome Ramel - Analyst

  • Thank you very much.

  • Operator

  • Mahesh Sanganeria.

  • Mahesh Sanganeria - Analyst

  • It's RBC Capital Markets.

  • The question on the memory side, you talked about first half being stronger and second later half tapering a little bit.

  • Can you give us a sense of how the -- qualitatively how the distribution is it in terms of DRAM versus demand NAND in the first half and the second half?

  • Wolfgang Nickl - EVP and CFO

  • Yes.

  • I can -- it's weighted more towards DRAM, both first half and the second half.

  • Mahesh Sanganeria - Analyst

  • Okay.

  • And then a question on EUV.

  • You talked about shipping the high-power laser.

  • And does this new high-power laser -- does that take you to 100-watt operation or beyond that?

  • Or if it's taking you to 100-watt operation, what do you need to change to go to 200-watt operations?

  • Peter Wennink - President and CEO

  • The high-power laser takes us to 125 watts.

  • And it's, in principle, the architecture to take us to 250 watts.

  • So it's (multiple speakers).

  • Mahesh Sanganeria - Analyst

  • Okay, that's pretty good.

  • Okay.

  • Thank you.

  • Operator

  • Tim Arcuri.

  • Tim Arcuri - Analyst

  • Cowen and Company.

  • Thank you.

  • A couple of things.

  • First of all, Wolfgang, I guess I had a question on the second-half revenue.

  • I know you don't want to guide it.

  • But if DRAM is down, you're saying that there's not a lot of NAND business and logic is pretty stable.

  • I understand that service will be up maybe EUR75 million on a quarterly basis exiting the year versus where it is now or possibly even more than that.

  • But does that overall paint a picture that second-half revenue is down versus the first half of the year, or is that not the right read?

  • Wolfgang Nickl - EVP and CFO

  • A little bit difficult, Tim, because I'm answering this question without going into numbers.

  • That's why we decided to do it qualitatively.

  • We used the word tapering, so it's going down somewhat.

  • That's by definition.

  • Logic is stable, as we said.

  • And it was quite a nice increase in the upgrade and service business.

  • And we said we're going to exit at EUR500 million run rate.

  • And then, of course, it also depends on how the final orders come in for the year.

  • And we don't have visibility on the clarity on how exactly Q2 will look like and Q3 looks like.

  • Otherwise, we would guide.

  • But second half could be a little bit weaker than the first half, I think is what you can conclude.

  • Peter Wennink - President and CEO

  • Got it.

  • And it won't be -- it's not going to be, as you called, you would say does it look like a drop-off and that's absolutely not the case.

  • It's like Wolfgang said.

  • It's probably -- it's tapering.

  • Tim Arcuri - Analyst

  • Okay.

  • Thanks, Peter.

  • I guess second question, and then I had another follow-up after that.

  • But just on DRAM, it seems like there's some change in tone there.

  • Last quarter, you were pretty positive.

  • Everyone was expanding wafers.

  • Now the message is that the sort of incremental wafer supply is going to be met by what ships in the first half.

  • Is this the right read?

  • And can you give a little more color there in terms of what the tone is from the DRAM guys?

  • Has there been a market change in tone?

  • Wolfgang Nickl - EVP and CFO

  • No.

  • I don't think so.

  • I think they are still very confident.

  • It's just a matter of timing when they put that capacity in place.

  • Like a lot of the questions that were asked earlier, it is what fabs are taking tools.

  • I think there are fabs in Korea taking tools.

  • There is capacity that to take tools in Taiwan and Japan particularly.

  • So that will be used.

  • I think it's a matter of timing more.

  • So when you look at 2015 and you just chop the entire ramp up in calendar years, then everything that we are saying is in calendar year there's more shipments in the first half than in the second half on DRAM customers.

  • But it is not as far as why we listen to our customers absolutely not an indication that our customers feel that the business is weakening, and that's why they need less capacity.

  • It's just a matter of timing of when they ramp their fabs, and it's the combination of those four potentials in Taiwan and Japan and the two in Korea, how that ramp-up is scheduled.

  • It's no more than that.

  • Tim Arcuri - Analyst

  • Okay.

  • Maybe if I can just squeeze in one more quick one on EUV, you had guided previously that you would ship 10 systems this year.

  • Now it sounds like if I add it up on the slide, you are shifting like between 8 and 10.

  • And the change really is on the three systems that were going to be 3350s converted from 3300s.

  • Why has that changed, given that the progress on EUV is clearly better than it this time last quarter?

  • Thanks.

  • Peter Wennink - President and CEO

  • Well, it is because the progress has been better than we thought.

  • And you have to think about this way.

  • You have the 3300, and then we did not -- we could not show the 3300 results that we have shown the last quarter when we were at SPIE.

  • One of the customers said now we can do 1,000 wafers per day on the 3300.

  • Before that time, customers were looking at if we want the capability to do 1,000 wafers per day, we need to go to a 3350.

  • So could you please wait with the 3300 shipment and upgrade to a 3350 in order to give us that capability?

  • Now you can understand that having an 80-watt configuration for the 3300 and doing 1,000 wafers per day that some customers are scratching their head and saying shouldn't we then take the 3300, start using it at 80 watts instead of waiting and then getting a shipment of the 3350 later?

  • It's all a matter of when are we going to do what with respect to EUV?

  • So you could basically say as a result of the progress, we have now this situation where some customers are rethinking their original plan of the 3350 upgrade and still -- and actually wanted to ship it earlier.

  • Is that clear?

  • Tim Arcuri - Analyst

  • Peter, thanks so much.

  • Operator

  • This will do, Mr. Arcuri?

  • Craig DeYoung - VP, IR Worldwide

  • Yes, I think next question.

  • Operator

  • Farhan Ahmad.

  • Farhan Ahmad - Analyst

  • This is Farhan asking a question from Credit Suisse.

  • My first question is on the reuse.

  • Peter, you mentioned that reuse is much higher on the 14 nanometer.

  • You have not seen it previously, and even on 10 nanometer you are going to see it.

  • How does that change fundamentally your long-term business model?

  • And will we be able to get to the 2020 revenue targets if you're having such high reuse?

  • And also if you could shed some light on like just the 14-nanometer, 16-nanometer node.

  • What percentage of the capacity was actually coming from reuse versus new capacity additions?

  • That would be really helpful.

  • Peter Wennink - President and CEO

  • We don't think it's -- the long-term business model impact is there because we actually included this in our model when we modeled it.

  • And we showed you at the analyst day, the by-2020 model.

  • And we showed you the new systems that assumes a certain percentage reuse.

  • And that's actually what we are seeing today.

  • So it is going to be an integral part of our business model going forward.

  • But it doesn't change the long-term business model.

  • So you said the 14 -- the 16 nanometer as a -- the percentage of the capacity reuse.

  • Difficult to say.

  • It's customer by customer different.

  • Some customers that have, let's say, large production of limited products, they would probably do this sooner.

  • And more than very large foundries that have multiple customers, dozens of customers that are actually still requiring capacity, for instance, on the 28-nanometer node to stay in place because they are upgrading the 45-nanometer and 65-nanometer products to 28 nanometer.

  • So it's a bit different per customer.

  • So I don't think you can give this as a standard percentage.

  • But in general you could say those foundries with large volumes of and, let's say, smaller quantity of types of products, they would do this earlier and sooner than foundries with a lot of customers.

  • Farhan Ahmad - Analyst

  • Got it.

  • That's very helpful.

  • And following up on Sandeep's question earlier, 10 nanometer was initially started with multi-patterning.

  • And there was less insertion on 10 nanometer with EUV.

  • As you look at the 7-nanometer node, I believe the decision on 7 nanometer would be later this year.

  • What are your expectations?

  • Will it be started initially with EUV or multi-patterning?

  • And also like at a SPIE conference, it appeared that for 7-nanometer node, if you wanted to do multi-patterning, the overlay and the etch placement are the big issue.

  • Do think from a lithography perspective, is immersion able to handle all the needs, or is it going to be like either DUV or we can't do it?

  • Peter Wennink - President and CEO

  • Well, ultimately that call is with the customer.

  • We have an internal view that we believe that EUV should be the technology of choice, very clearly.

  • Customers will tell you that in the absence of a fully production-worthy EUV as we see it today because we're too early, for that default solution is always going to be looking at what they know today, which is immersion, multiple patterning.

  • And that's what every customer will tell you.

  • Every logic customer will tell you, said, we will look at that.

  • But at the same time, also at SPIE the customers made the public statements -- default, we are going there because we're going for double-patterning immersion because it is what we know.

  • But when EUV is available, we will use EUV.

  • So it all comes down to the production worthiness, i.e.

  • the percentage availability of the tool that is going to drive the position for EUV.

  • Whether it is there with the right level of availability and right level of reliability, they are going to use EUV.

  • There is no doubt in our mind.

  • And the fact that they are talking about two strategies simply got to do with the fact that nobody can point at an EUV production-worthy tool at 85% availability doing 1,500 wafers per day because it's not there yet.

  • But this is how you need to look at that.

  • And whether you go into 7 nanometers -- last comment, we all understand that you pointed to it also that 7-nanometer, multiple-patterning immersion is a web of pain, and that customers are trying to avoid that.

  • Farhan Ahmad - Analyst

  • Got it.

  • Thank you.

  • That's helpful.

  • Operator

  • Gareth Jenkins.

  • Gareth Jenkins - Analyst

  • Yes, it's UBS.

  • Just a couple if I could please, gentlemen.

  • I think this morning, Wolfgang, you mentioned improving utilization times.

  • I just wondered on the immersion side of things whether you could give a sense of cabin times or your cycle times currently.

  • And then just secondly on R&D, I just wonder whether you still see it sort of phasing down through the course of this year in a fairly progressive manner.

  • Thank you.

  • Wolfgang Nickl - EVP and CFO

  • Yes, on capacity utilization, we obviously had more volume in Q1 than in Q4.

  • That helps with absorption in general, but we're also making pretty good progress in general and in shortening our cycle time in the factory in terms of -- the second question was on R&D?

  • Gareth Jenkins - Analyst

  • Yes, that's right.

  • Wolfgang Nickl - EVP and CFO

  • Yes, R&D, we pretty much came in in line with our guidance at like 2.61%.

  • We have obviously 4 sites where we incur R&D expenses in the US -- in San Diego, of course; and holistic lithography in Santa Clara; and with a center in Chandler; and then we have a big base in Wilton, Connecticut.

  • And we have literally hundreds and hundreds of R&D people there.

  • And there, we do have an FX impact, like I said in my prepared remarks, not fully offset for the total Company by positive effect from the sale in US dollar.

  • But I would just suggest there that you model it somewhere in the 2.65%-ish range for the remainder of the year.

  • We are committed to get to the 13% of revenue in terms of the long-term model by 2020.

  • But you will also understand that in this time where we are firing on all cylinders in EUV, holistic, and EUV extension that we are not going to run the risk to cut the program prematurely just to make an artificial number to offset an FX risk.

  • Gareth Jenkins - Analyst

  • Thanks.

  • Operator

  • (Inaudible).

  • Unidentified Participant

  • It's Jeff (inaudible) speaking at Bernstein.

  • I think there has probably been a mix-up in names.

  • Thank you for taking my question.

  • Peter, I'd like to come back to the two points you made on the fact that three nodes are being run at the same time at the moment.

  • And it's really something new for you guys and (inaudible) on reuse at 10-nanometer nodes.

  • So there is two way I can think about it.

  • One is 14-nanometer node is reusing the 20-nanometer node at the moment, and it's (inaudible).

  • It is because maybe 20 nanometer is a disappointment in your node for the clients (inaudible) clients, and you should consider 20, 16, and 14 as being the same lithography node.

  • It's almost a nonevent.

  • But then, if we start seeing a lot of reuse between 20, 16, and 14 and 10, then it would mean that the 20, 16, 14 node would be phasing down faster than lagging nodes had been phasing down in the past.

  • And that could, in the long term, have some affect on demand for lithography.

  • So how do you think about that?

  • Do you see a risk that, going forward, higher rate of reuse between nodes means that the total capacity of the industry is going to grow at a slower pace with the N1 node phasing down faster than what we've seen in the past?

  • Thanks.

  • Peter Wennink - President and CEO

  • Yes, a couple of comments.

  • You assume that the reuse on the 14 and 16 nanometer is out of 20.

  • It's not out of 20; it's out of 28.

  • So -- and we do that by the 28-nanometer-capable tool to upgrade those tools to what we call a system node enhancement package sale.

  • So it could be big sales.

  • It could be EUR10 million, EUR20 million apiece.

  • So those are almost open-heart surgeries in the field.

  • But that of course -- it's cheaper than buying a new tool of EUR50 million.

  • So what you will see -- and I think I have to refer back to a previous comment.

  • I think when you look at the customers that are doing this, customers that are having large volumes of a limited number of products where those limited number of products are really products that go from node to node, that means that the current node of a limited number of products that are large volume moves on to the next generation, which means that it almost obsoletes the current node when you go to N+1.

  • And that is also why basically the capacity follows that, so the reuse of that capacity.

  • Which is in fact what happened in the industry for a very long time in microprocessors.

  • That's just a -- that's a well-known fact.

  • And that's what I'd say, is it's a limited number of products with very large volume.

  • So in that sense, you are seeing also I think in the foundry industry some very large products, large volume where the same logic, this economic logic, applies as it applies or actually has applied for a very long time in the microprocessor world.

  • So I don't think it has a major impact in that sense.

  • Other than you could argue that if everything would be new, then you would sell 40% more new tools.

  • But then you should also realize that Moore's law is an empirical law of economics.

  • So it's also how do you deal with capital efficiency.

  • And I think this reuse option is a way of customers to control their cost of additional capacity that they need because of the higher litho -- of the higher intensity in their lithography systems.

  • So it's a logical way of customers dealing with the node-to-node transition for high volumes whereby you have a limited number of products.

  • And it's basically akin to what we've seen in the microprocessor business.

  • Gareth Jenkins - Analyst

  • Okay.

  • Excellent.

  • That's very clear.

  • Thank you very much.

  • And a very quick follow-up on what you've been discussing for the second half.

  • I assume that when we talk -- when you talk about like stable business in foundries between the first and the second half, you are excluding from the picture EUV.

  • Or are you taking into account, are you making an assumption on what you are going to recognize in terms of EUV revenues in the second half?

  • Peter Wennink - President and CEO

  • You are correct; that was a non-EUV statement.

  • Unidentified Participant

  • Excellent.

  • Thanks a lot.

  • Operator

  • Francois Meunier.

  • Andrew Humphrey - Analyst

  • It's Andrew Humphrey here for Francois from Morgan Stanley.

  • Just one question on the comments you are making on 2016 for EUV.

  • I think those are consistent with what you've said before in terms of having the capacity to ship one EUV machine a month next year and 3 EUV machines a month a year after that.

  • But you sounded a little bit firmer in terms of there actually being demand for those machines, i.e., potentially some customers moving beyond the testing phase.

  • So could you just talk a little bit more about what the sensitivities are around that and what the potential upsides and downsides could be for 2016?

  • Peter Wennink - President and CEO

  • I think what you'll see, it all hinges on -- and I said it's also in my introductory statements is that when we zoom in on our targets of -- let's say, reaching the overall target of 1,000 wafers per day, zooming in on the 1,500 wafers per day next year, reaching the 70% availability this year, go to the 85% availability next year, that progress -- I think that progress will increase the customer confidence.

  • Now, we are planning for success.

  • It actually means that we think we will reach those targets.

  • We also think that when we reach those targets, there's not going to be one.

  • There's going to be multiple customers that are going to say then in 2016 we need to start at least shifting tools for the start of the final production in early 2016 because those two installation times and ramp-up times are quite low.

  • But it will have an effect on 2017 in the customer fabs.

  • And this is why we have that production capability there.

  • You could say, Peter, show me the orders for 2016, and I'd be able to say, Francois, you are right at the end of the day.

  • But when I said -- if you say, Peter, show us the progress that we have made, that we have made a lot of progress and there's a lot of confidence that we have that by that time -- it is 2016, and we are one year or one year and a quarter down the road that we can show some tangible progress which will give customers the confidence that they have to do this.

  • That's why the pressure capacity is there.

  • Andrew Humphrey - Analyst

  • Great.

  • Thanks very much.

  • Operator

  • Patrick Ho.

  • Patrick Ho - Analyst

  • Thank you very much.

  • Stifel Nicolaus.

  • The first question on EUV -- with the progress you've made, can you just give a little bit of color on some of the ecosystem areas, particularly on the mask inspection side, and how you believe that could potentially impact I guess the production-worthy capabilities for the industry given that they also need to be ready even if you are ready on the system side?

  • Peter Wennink - President and CEO

  • Yes, that's a good question.

  • I think this has been a subject of heated debate over the last couple of quarters.

  • On mask inspection particularly, I think one of the most significant pieces of news that came out of SPIE was the fact that we announced that we have a working prototype of what we call a removable pellicle.

  • And a pellicle is in fact a membrane that sits in front of the photomask.

  • And in fact, what the membrane does, it stops particles getting onto the photomask.

  • Because when they get onto a photomask, which is a reflective photomask, they will print; so you don't want that.

  • So the fact that we have now shown that that capability is there and we can remove the pellicle and put it back on, it means that you can remove the pellicle, inspect the photomask with traditional inspection tools and then put the pellicle back.

  • It gives our customers -- at least that's also what they said at SPIE -- a lot of confidence that they can do without what you call an actinic inspection tool, which is an inspection tool that uses the same wavelength which is basically an EUV mask inspection tool, which of course is not there.

  • So that takes away that need.

  • Now, there are some customers that are saying, well, for some very critical layers we would still like to have it somewhere down the line, and that's still a debate.

  • We believe that we can show going forward that this removable pellicle is good enough for customers to get confidence that they can work with a defect-free mask.

  • So this is where we are.

  • I think this is a big step forward.

  • And like I said, it removes the need for an actinic inspection tool this decade, and that's why customers can move on with EUV.

  • Now, on photoresist, there is -- there's also progress made on that point that is logical.

  • Photoresist companies are not companies that are living on very high margins, so they always wait until the exposure tool is ready and all the parts of the infrastructure are there, and they are there today.

  • So we also see since we have made progress with EUV, resist companies definitely stepping up in their R&D efforts and coming up with photoresist EUV, photoresist solutions that were not available six months ago.

  • And we're making very good progress there.

  • So on the infrastructure, I don't think they are showstoppers.

  • That is -- I could've started with this so my answer would have been a bit shorter.

  • But just to give you some detailed information, I don't know we'll (multiple speakers).

  • Patrick Ho - Analyst

  • Great, that's helpful.

  • And maybe just as a quick follow-up on the industry front, you've talked about reuse particularly on the foundry side of things.

  • How much reuse of litho do you believe the NAND flash industry will see as it transitions to 3-D NAND from planar?

  • Peter Wennink - President and CEO

  • None.

  • And that is because it is (technical difficulty) (multiple speakers) -- is somebody else on the line?

  • Hello?

  • No, I think there is none.

  • For the simple reason that when you talk about NAND flash or non-volatile memory, the different memory types -- 2-D, which is planar, or 3-D (technical difficulty) (multiple speakers) --

  • Unidentified Company Representative

  • (inaudible)

  • Peter Wennink - President and CEO

  • Hello?

  • Is somebody interfering?

  • Let me repeat what I said.

  • There is going to be 2-D devices -- playing out devices living next to 3-D devices, living next to what they call the next-generation memory or a cross point or re-RAM.

  • So they're going to be in the memory space.

  • I said it on previous calls also, the diversity in non-volatile memory devices is going up, and that means that they will stay -- there's a very long life for planar, for 3-D NAND and for new-type memory.

  • And that means that the installed capacity will stay there.

  • It's not going to be reused.

  • It's just going to grow.

  • Because 2-D planar devices will serve another and a different end application than a 3-D device then a cross-point device.

  • So, this is not applicable.

  • Reuse is not applicable in the NAND business.

  • Patrick Ho - Analyst

  • Great.

  • Thank you.

  • Craig DeYoung - VP, IR Worldwide

  • I'm going to break in here, ladies and gentlemen.

  • I think we have time for one last question.

  • As always, if you are unable to get through with a question and feel the need to talk to anybody, the IR team is available this evening and tomorrow.

  • So please give us a call.

  • Now, with that Peter, can we have the last question?

  • Operator

  • Of course, Mr. DeYoung.

  • The last question is coming from Mr. Weston Twigg.

  • Weston Twigg - Analyst

  • Wes Twigg from Pacific Crest.

  • Thanks for fitting me in.

  • First on foundry bookings, it looks like they dropped a lot in Q1, and yet you are talking about confidence and having stable demand from foundries.

  • So I'm just wondering if maybe you are seeing orders picking up this quarter or what really gives you that confidence.

  • And then secondly, my second question would the just on the stock buyback program.

  • Why not a bit more aggressive so far?

  • Wolfgang Nickl - EVP and CFO

  • Yes, so let me take this.

  • On the bookings, we were not disappointed.

  • We were actually quite satisfied with our overall backlog.

  • We said it in the remarks.

  • It's very balanced.

  • I think it's always important to also note that we do have volume purchasing agreements with all of our customers, which actually makes the act of placing an order almost an administrative act.

  • So we have visibility beyond the bookings and the backlog.

  • So we were not disappointed, and we are, as a matter of fact, quite happy with the backlog and feel confident in our statement that we have a stable business.

  • Stock buyback, we have a pretty time-proven policy of systematically giving back what we have available on top of minimum required cash balance.

  • We want to go to the dividend first, and the rest is used for stock buybacks.

  • You saw us ending at EUR2.8 billion, not EUR2.5 billion which is our stated objective.

  • But that's really in preparation for the dividend that's payable in April.

  • So we were executing the program that we announced last quarter, and that, again, will put over EUR1 billion or around EUR1 billion in stock buybacks for the next two years.

  • Weston Twigg - Analyst

  • All right.

  • Thank you.

  • Very helpful.

  • Craig DeYoung - VP, IR Worldwide

  • Good.

  • Thanks, Wes, for joining the call.

  • And on behalf of ASML's Board of management, I'd like to thank everybody for joining today.

  • And with that, Peter, if you could formally conclude the call, we'd appreciate it.

  • Thank you.

  • Operator

  • Of course, Mr. DeYoung.

  • Ladies and gentlemen, this concludes the ASML first-quarter 2015 results conference call.

  • Thank you for participating.

  • You may now disconnect.