艾司摩爾 (ASML) 2014 Q2 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Ladies and gentlemen, thank you for standing by and welcome to the ASML second-quarter results conference call on July 16, 2014. (Operator Instructions) I would now like to turn the conference over to Mr. Craig DeYoung. Please go ahead, sir.

    女士們、先生們,感謝大家的支持,歡迎參加 2014 年 7 月 16 日 ASML 第二季業績電話會議。 (操作員指示)現在,我想將會議交給 Craig DeYoung 先生。先生,請繼續。

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Thank you, Serla, and good afternoon and good morning, ladies and gentlemen. This is Craig DeYoung, Vice President, Investor Relations at ASML. Joining me today from our headquarters here in Veldhoven, the Netherlands, is ASML's CEO, Peter Wennink, and our CFO, Wolfgang Nickl.

    謝謝塞拉,女士們、先生們,下午好,早安。我是 ASML 投資人關係副總裁 Craig DeYoung。今天,與我一起從荷蘭費爾德霍芬總部前來的還有 ASML 執行長 Peter Wennink 和財務長 Wolfgang Nickl。

  • The subject of today's call is ASML's second-quarter 2014 results. The call is also being broadcast live over the Internet at www.ASML.com and you can find a replay of the call there for another approximately 90 days.

    今天電話會議的主題是 ASML 2014 年第二季的業績。此次電話會議還將透過網路在 www.ASML.com 上進行現場直播,您可以在那裡找到為期約 90 天的電話會議重播。

  • Before we begin I would like to caution listeners that comments made by management during the conference call will include forward-looking statements within the meaning of the federal securities laws. These forward-looking statements involve material risks and uncertainties. For a discussion of risk factors, I encourage you to review the Safe Harbor statement contained in today's press release and presentation found on our website at ASML.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

    在我們開始之前,我想提醒聽眾,管理階層在電話會議期間發表的評論將包括聯邦證券法所定義的前瞻性陳述。這些前瞻性陳述涉及重大風險和不確定性。對於風險因素的討論,我建議您查看今天的新聞稿和簡報中包含的安全港聲明,這些聲明可以在我們的網站 ASML.com 上找到,也可以在 ASML 的 20-F 表年度報告和向美國證券交易委員會提交的其他文件中找到。

  • The length of the call will be 60 minutes and now I would like to turn it over to Peter Wennink for a brief introduction.

    通話時間為 60 分鐘,現在我想將時間交給 Peter Wennink 進行簡短介紹。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Thank you, Craig. Good morning, good afternoon, ladies and gentlemen, and thank you for attending our second-quarter 2013 results conference call.

    謝謝你,克雷格。女士們、先生們,早安、下午好,感謝大家參加我們的 2013 年第二季業績電話會議。

  • Before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and some commentary on our second quarter and provide our views on the coming quarters. Wolfgang will start with a review of our second-quarter financial performance with added comments on our short-term outlook. And I will complete the introduction with some further comments on the general current business environment in which we are working and our future business outlook.

    在我們開始問答環節之前,沃夫岡和我想對我們的第二季進行概述和評論,並對未來幾季發表我們的看法。沃爾夫岡將首先回顧我們的第二季財務業績,並對我們的短期前景發表評論。最後,我將對我們目前所處的整體商業環境以及未來的商業前景進行一些進一步的評論,以完成介紹。

  • Wolfgang, if you will.

    沃爾夫岡,如果你願意的話。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • Thank you, Peter, and welcome, everyone. Our Q2 revenue was in line with our guidance of EUR1.6 billion and was largely driven again by sales to our memory customers, which represented about one half of our systems revenue.

    謝謝你,彼得,歡迎大家。我們第二季的營收符合我們 16 億歐元的預期,並且在很大程度上再次受到對記憶體客戶的銷售的推動,這約占我們系統收入的一半。

  • As anticipated, we recognized revenue for one EUV system during the quarter. The ASPs of all tools sold increased dramatically from EUR26 million last quarter to EUR40 million this quarter, reflecting strong demand for our most advanced immersion tools combined with leading edge holistic lithography solutions in both the memory and the logic market.

    正如預期的那樣,我們在本季度確認了一套 EUV 系統的收入。所有銷售工具的平均銷售價格從上一季的 2,600 萬歐元大幅上漲至本季度的 4,000 萬歐元,反映出我們最先進的浸入式工具與記憶體和邏輯市場領先的整體光刻解決方案相結合的強勁需求。

  • Service and field options sales grew by about 10% quarter over quarter to EUR401 million. Gross margin came in above guidance at 45.7%, positively impacted by a favorable customer sector and product mix and a higher contribution of service and fields option products. With R&D in line with our estimates and SG&A slightly better than our estimates, gross margin was the main driver that enabled our quarterly earnings to exceed Street expectations.

    服務和現場選項銷售額環比增長約 10%,達到 4.01 億歐元。毛利率高於預期,達到 45.7%,這受到有利的客戶部門和產品組合以及服務和現場選擇產品貢獻增加的正面影響。由於研發費用符合我們的預期,而銷售、一般及行政費用略高於我們的預期,毛利率成為我們季度收益超出華爾街預期的主要驅動力。

  • Regarding our balance sheet, we ended Q2 with approximately EUR2.7 billion in cash, cash equivalents, and short-term investments. During Q2 we bought back 2.6 million shares for a total of EUR164 million. From the beginning of 2013 through the end of Q2 we have purchased 9.5 million shares for a total of EUR611 million, leaving approximately EUR390 million under our current buyback program to be executed in the second half of 2014. On May 13 we paid our shareholders a record dividend of EUR0.61 per ordinary share or a total of EUR268 million.

    關於我們的資產負債表,我們在第二季結束時擁有約 27 億歐元的現金、現金等價物和短期投資。第二季度,我們回購了 260 萬股,總計 1.64 億歐元。從 2013 年初到第二季末,我們已回購了 950 萬股,總價值為 6.11 億歐元,根據我們目前的回購計劃,剩餘約 3.9 億歐元將於 2014 年下半年執行。 5 月 13 日,我們向股東派發了創紀錄的每股普通股 0.61 歐元的股息,總額為 2.68 億歐元。

  • Turning to our order book, system bookings in Q2 were roughly equivalent to bookings in Q1, leaving our order backlog at the end of Q2 at approximately EUR1.8 billion excluding EUV.

    談到我們的訂單,第二季的系統預訂量大致相當於第一季的預訂量,截至第二季末,我們的訂單積壓量約為 18 億歐元(不包括 EUV)。

  • With that, I would like to discuss our expectations for Q3 and for Q4. Let me start with a view of the market reserves. Both your sedate revenue and last quarter's bookings demonstrates continued strength in memory. We expect memory strength to continue throughout the second half of the year, driven by planar NAND and DRAM.

    藉此,我想討論一下我們對第三季和第四季的期望。我先從市場儲備的角度談談。您穩定的收入和上個季度的預訂量都表明記憶力持續強勁。我們預計,在平面 NAND 和 DRAM 的推動下,記憶體的強勁勢頭將在今年下半年持續下去。

  • In IDM, we expect strong year-over-year revenue growth. 2014 year-to-date IDM revenue already exceeds the prior year's total revenue and we have solid backlog for the second half of the year. In foundry, we are experiencing upside demand for 28 nanometer capacity but a slower-than-anticipated ramp of the 16 and 14 nanometer nodes. We saw growing foundry sales in Q2 versus Q1 and our June-ending backlog is moderately higher than the quarter before.

    在 IDM 領域,我們預計營收將實現強勁同比成長。 2014 年迄今的 IDM 收入已經超過了去年的總收入,我們下半年有大量的積壓訂單。在代工領域,我們正經歷 28 奈米產能的上行需求,但 16 和 14 奈米節點的產能成長速度低於預期。我們發現第二季的代工銷售額較第一季有所成長,且我們截至六月的積壓訂單量較上一季略有增加。

  • However, although the industry's view of an eventual 300,000 wafer starts per month in total for 20, 16, and 14 nanometer combined node capacity remains intact, we see widely different behavior of our foundry customers as they are evaluating the timing of the capacity ramp. Some are currently adding leading-edge lithography equipment in support of capacity installations. Some are digesting already delivered equipment while others appear to be reconsidering advanced node ramp plans.

    然而,儘管業界對 20、16 和 14 奈米組合節點產能最終每月總計 30 萬片晶圓的看法保持不變,但我們看到代工客戶在評估產能提升時機時的行為截然不同。一些公司目前正在增加尖端光刻設備,以支援產能安裝。一些公司正在消化已交付的設備,而另一些公司似乎正在重新考慮先進的節點提升計劃。

  • In addition, we have some customers with EUV quarters in place, requesting that we upgrade their NXE:3300 to production-specified NXE:3350s before shipment, which will require rescheduling of deliveries into 2015. As a result of an overall weakening of near-term foundry demand for the 16 and 14 nanometer FinFET node ramps and with the upgrade plan and associated reschedule of delivery of three NXE:3300s, we maintain our prior Q3 guidance of about EUR1.4 billion and now see a total year revenue of about EUR5.6 billion including five EUV systems.

    此外,我們有一些 EUV 季度到位的客戶,要求我們在發貨前將其 NXE:3300 升級到生產指定的 NXE:3350,這將需要將交貨時間重新安排到 2015 年。由於近期代工廠對 16 和 14 奈米 FinFET 節點坡道的需求整體減弱,以及升級計劃和相關的三台 NXE:3300 交貨的重新安排,我們維持先前約 14 億歐元的第三季度指導,現在預計全年收入約為 56 億歐元,包括五台 EUV 系統。

  • Our long-term view on semiconductor demand remains unchanged. We expect gross margin for Q3 to be around 42% including two EUV systems. We are seeing a bit of near-term variability in gross margin, not only due to the recognizable between product mix but also the likely impact of between-sector mix as the more highly configured foundry immersion tools, for example, carry a higher value than the more lightly configured memory tools.

    我們對半導體需求的長期看法保持不變。我們預計第三季的毛利率約為 42%,包括兩組 EUV 系統。我們看到毛利率在短期內出現了一些波動,這不僅是因為產品組合之間存在可識別性,還可能受到行業組合的影響,例如,配置更高的代工浸沒工具比配置較低的記憶體工具具有更高的價值。

  • Over the longer term, however, we remain confident that the gross margin trends will continue upwards. Strength in service and field options revenues will help support this trend.

    然而,從長遠來看,我們仍然相信毛利率趨勢將持續上升。服務和現場選擇收入的強勁成長將有助於支持這一趨勢。

  • R&D expense for the third quarter will be about EUR260 million. Other income with contributions from participants of the customer co-investment program will be about EUR20 million. For SG&A, we expect approximately EUR80 million for the third quarter.

    第三季的研發費用約為2.6億歐元。來自客戶共同投資計畫參與者貢獻的其他收入約為 2000 萬歐元。對於銷售、一般及行政費用,我們預計第三季約為 8,000 萬歐元。

  • With that, I would like to turn it back to Peter.

    說完這些,我想把話題轉回給彼得。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Thank you, Wolfgang. I would like to take a brief moment to review the status of the three trends that we also discussed in the last quarter.

    謝謝你,沃夫岡。我想花一點時間回顧我們上個季度討論過的三種趨勢的現狀。

  • Wolfgang has highlighted the current landscape and near-term uncertainty and timing of leading-edge capacity additions in the foundry space. Such uncertainties are not uncommon at the beginning of new complex and challenging node transitions, especially since these transitions over the last four years have been the most aggressive in history. These uncertainties might be exaggerated by the current competitive environment and by a consolidating foundry customer base in advanced notes which brings a specific and relatively new dynamic to this industry segment.

    沃爾夫岡強調了當前的情況和近期的不確定性以及代工領域前沿產能增加的時機。在新的複雜且具有挑戰性的節點轉變開始時,這種不確定性並不少見,特別是因為過去四年的這些轉變是歷史上最激進的。當前的競爭環境以及先進技術中不斷鞏固的代工客戶群可能會加劇這些不確定性,從而為該行業帶來特定且相對較新的活力。

  • We've witnessed the move to the 20 nanometer planar nodes from the 28 to 32 nanometer nodes and are now witnessing the broad-based introduction of the 3-D gate architecture at foundries, specifically used for the 14 and 16 nanometer FinFET devices. As mentioned, we are currently in the phase where the timing and rates of the production ramps for these most advanced nodes is being assessed. And by the way, both of these transitions require about a 60% increase in lithography tool investments.

    我們見證了從 28 奈米到 32 奈米平面節點向 20 奈米節點的轉變,現在我們見證了代工廠廣泛引入 3-D 閘極架構,專門用於 14 奈米和 16 奈米 FinFET 裝置。如上所述,我們目前正處於評估這些最先進節點的生產提升時間和速率的階段。順便說一句,這兩種轉變都需要光刻工具投資增加約 60%。

  • Secondly, we have seen the introduction and initial ramp of vertical NAND, which requires about 10% more lithography investment per wafer start. With vertical NAND, bit density is achieved through vertical transistor stacking as a successor to the current planar floating gate architecture. As is the case and logic, these technology transitions are complex and it is therefore not surprising that a pause of vertical NAND capacity buildup is occurring until the time that these technologies can be developed to a point where they are cost-competitive with current and future planer nodes.

    其次,我們已經看到了垂直 NAND 的推出和初始成長,這需要每片晶圓啟動時增加約 10% 的光刻投資。採用垂直 NAND,位元密度是透過垂直電晶體堆疊實現的,作為目前平面浮柵架構的後繼者。正如情況和邏輯一樣,這些技術轉變是複雜的,因此,在這些技術能夠發展到與當前和未來的平面節點具有成本競爭力的程度之前,垂直 NAND 產能建設暫停也就不足為奇了。

  • In fact, given near-term vertical NAND manufacturing and cost challenges, we see that our customers are considering an expansion of their planar NAND roadmaps, actively pursuing possibilities of further shrinks. In the meantime, we remain confident that bit demand growth in 2014 of about 40% in the NAND will be met by continued node shrinks and wafer capacity additions.

    事實上,考慮到近期垂直 NAND 製造和成本挑戰,我們看到我們的客戶正在考慮擴展其平面 NAND 路線圖,積極尋求進一步縮小的可能性。同時,我們仍然相信,2014 年 NAND 的位元需求成長約為 40%,這將透過持續的節點縮小和晶圓產能增加來滿足。

  • For DRAM, DRAM bit supply is expected to meet demand in the mid-20% range, driven by technology node transitions only where lithography process intensity rises again about 10% with each node's transition and with a move to mobile DRAM.

    對於 DRAM,預計 DRAM 位元供應量將滿足 20% 左右的需求,這僅受技術節點轉換的推動,其中隨著每個節點的轉換和向行動 DRAM 的轉變,光刻工藝強度將再次上升約 10%。

  • Lastly, I want to repeat that we strongly believe that the scaling and related near-term technology challenges in logic and memory explained in the previous trend discussion create an increasing need for EUV in order to continue the cost-effective scaling that has driven this industry for the last 50 years. Our lithography cost modeling continues to show that EUV can drive down critical layer imaging cost to reduce spend on patterning-related deposition in etch and its associated costs for [clean room] space while reducing cycle time and very likely improving yields that come along with process simplicity.

    最後,我想重申,我們堅信,前面趨勢討論中解釋的邏輯和內存方面的擴展和相關的近期技術挑戰使得對 EUV 的需求日益增加,以便繼續實現過去 50 年來推動該行業發展的具有成本效益的擴展。我們的光刻成本模型繼續表明,EUV 可以降低關鍵層成像成本,從而減少蝕刻中與圖案相關的沉積支出及其相關的 [潔淨室] 空間成本,同時縮短週期時間,並且很可能提高伴隨製程簡化而來的產量。

  • Today our NXE:3300 EUV systems are supplying customers with a 200 wafer per day processing capability which is being used in the current process development phase for 7 and 10 nanometer logic. Given recent source progress, we feel very confident that we will be able to meet a 500 average wafer per day requirement by the end of this year as our customers move towards production qualification. This, in turn, forms the basis for our belief that we will be able to support our customers with an average of 1,500 wafers per day for high volume production in 2016.

    如今,我們的 NXE:3300 EUV 系統為客戶提供每天 200 片晶圓的處理能力,該能力正用於當前的 7 奈米和 10 奈米邏輯製程開發階段。鑑於最近的源進展,我們非常有信心,隨著我們的客戶逐步獲得生產資格,我們將能夠在今年年底前滿足平均每天 500 片晶圓的需求。這反過來又為我們的信念奠定了基礎,我們將能夠在 2016 年為客戶提供平均每天 1,500 片晶圓的大量生產。

  • Naturally, we remain fully engaged in EUV insertion planning discussions with our customers and given the progress of the EUV exposure systems, our customers' interactions show that they are increasingly turning their focus also to the state of maturity of the EUV infrastructure. Discussions with photoresist manufacturers, mask blank producers, mask defect inspection suppliers indicate that near-term capability or solutions do exist, but that further development in these areas is needed for future industrialization.

    當然,我們仍然全力參與與客戶的 EUV 插入規劃討論,並且鑑於 EUV 曝光系統的進展,我們與客戶的互動表明,他們越來越多地將注意力轉向 EUV 基礎設施的成熟度狀態。與光阻製造商、掩模坯料生產商、掩模缺陷檢測供應商的討論表明,近期的能力或解決方案確實存在,但這些領域需要進一步發展才能實現未來的工業化。

  • We believe that the long-term need for EUV remains unabated, but we also keep focusing our overall product strategy to the broadest industry needs covering the most competitive dry and immersion solutions. We continue to improve immersion systems performance to meet the needs of today's most complex single and multipass patterning. That means, firstly, that TWINSCAN NXT:1970Ci continues to ramp with 22 systems in our backlog, underscoring a high level of customer acceptance and rapid adoption of all applications.

    我們相信,對 EUV 的長期需求仍然有增無減,但我們也將繼續把整體產品策略重點放在最廣泛的產業需求上,涵蓋最具競爭力的乾式和浸入式解決方案。我們不斷改進浸沒系統的性能,以滿足當今最複雜的單次和多次圖案化的需求。這意味著,首先,TWINSCAN NXT:1970Ci 將繼續以 22 個系統的速度成長,這突顯了客戶的高度接受度和所有應用程式的快速採用。

  • Secondly, TWINSCAN productivity continues to set new records with our NXT:1950 immersion system, having processed more than 5,200 wafers in a single day, while another NXT:1960 has imaged more than 1.5 million wafers in a one-year period. We have also started to ship our next-generation integrated metrology system, the YieldStar 250D, with holistic lithography feedback loops, having demonstrated significant improvement in inter-field critical dimension uniformity, focus uniformity, and on-product overlay in support of 40 nanometer logic and 20 nanometer DRAM.

    其次,TWINSCAN 生產力繼續憑藉我們的 NXT:1950 浸沒系統創下新紀錄,一天內處理了超過 5,200 個晶圓,而另一個 NXT:1960 在一年內成像了超過 150 萬個晶圓。我們也開始發售下一代整合計量系統 YieldStar 250D,該系統具有整體光刻回饋迴路,在支援 40 奈米邏輯和 20 奈米 DRAM 方面,已證明場間臨界尺寸均勻性、焦點均勻性和產品覆蓋方面有顯著改善。

  • With this summary, we will be happy to take your questions, so operator?

    透過這個摘要,我們將很樂意回答您的問題,那麼接線員呢?

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Thanks, Peter. Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session. But beforehand I would like to ask that you kindly limit yourself to one question and one short follow-up if necessary and this will allow us to get as many callers in as possible, so we would appreciate that.

    謝謝,彼得。女士們,先生們,接線生將立即向您介紹問答環節的規程。但在此之前,我希望您只提出一個問題,並在必要時進行一次簡短的跟進,這樣我們才能接到盡可能多的來電,對此我們將不勝感激。

  • Now, operator, could we have your instructions and then the first question, please?

    現在,接線員,您可以給我們指示一下,然後問第一個問題嗎?

  • Operator

    Operator

  • (Operator Instructions) John Pitzer, Credit Suisse.

    (操作員指示)瑞士信貸的約翰·皮策。

  • John Pitzer - Analyst

    John Pitzer - Analyst

  • Peter, Wolfgang, thanks for all the detail. Peter, I guess given some of the reschedulings you are seeing on EUV this year out to 2015 as you upgrade to the 3350, I'm kind of curious and I appreciate the fact there is a lot of moving parts to this. What kind of baseline units should we -- are you thinking about for 2015 EUV and kind of what are the assumptions that are going into that?

    Peter、Wolfgang,感謝你們提供的所有詳細資訊。彼得,我想考慮到您今年在升級到 3350 時看到的 EUV 的一些重新安排,將其推遲到 2015 年,我有點好奇,而且我很欣賞這其中有很多活動部件。我們應該考慮什麼樣的基線單位——您是否考慮過 2015 年 EUV,以及對此的假設是什麼?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • That is a good question. Let me first remind you that the next two years are depending on EUV insertion for 10 nanometer and core usage in DRAM.

    這是個好問題。首先讓我提醒你,未來兩年取決於 10 奈米的 EUV 插入和 DRAM 中的核心使用。

  • What we have looked at, and this is how we have built our internal capacity, is that we have put into our models that next year we could see 12 to 15 tools if two customers insert EUV in production in logic and one DRAM player makes that same move. So that would give us 12 to 15 units. This is still the plan of record, which is this is how we are steering our supply chain and how we have built our capacity.

    我們所研究的,以及我們建構內部產能的方式,是我們在模型中考慮到,如果兩個客戶在邏輯生產中插入 EUV,並且一個 DRAM 廠商也採取同樣的舉措,那麼明年我們可能會看到 12 到 15 種工具。這樣我們就有 12 到 15 個單位。這仍然是記錄計劃,這就是我們如何引導我們的供應鏈以及如何建立我們的產能。

  • Now, if you have a question and say what if that does not happen and if customers would basically decide to postpone insertion in both logic and in DRAM for one year later, for instance, now what would that be? We, and our customers, actually believe that we will see EUV shipments next year because of learning.

    現在,如果您有一個問題並且說如果這沒有發生並且如果客戶基本上決定將邏輯和 DRAM 的插入推遲一年,那麼現在會怎麼樣?我們和我們的客戶實際上都相信,透過學習,我們明年將看到 EUV 出貨量。

  • EUV will be absolutely necessary at 7 nanometer and if you would not do any learning in the 10 nanometer timeframe then it would be a steep hill to climb, a very steep hill. So we estimate, and also based on discussions with customers, that if the customers would not choose to insert EUV in production in logic and in DRAM but only for learning, we would look at about half the volume that I just mentioned, the 12 to 15. So it's half of that number.

    在 7 奈米製程中 EUV 是絕對必要的,如果你在 10 奈米的時間範圍內不做任何學習,那麼這將是一座難以攀登的山,一座非常陡峭的山。因此,我們估計,並且根據與客戶的討論,如果客戶不選擇在邏輯和 DRAM 生產中插入 EUV,而僅用於學習,那麼我們會看到我剛才提到的產量的一半,即 12 到 15。所以它是這個數字的一半。

  • But that also means that for 7 nanometers it is absolutely necessary, everything that we see and we hear today. And that means that we continue to plan 40 to 60 units per year once we have full insertion on 7 nanometer and DRAM. But not only on 7 nanometer but also we have to think about microprocessors at that time, so 40 to 60 units will then still say. But the question is are they going for production insertion on 10 and on DRAM, or are they going for shipments to actually make sure that they can put EUV into learning in production?

    但這也意味著,對於 7 奈米來說,它是絕對必要的,我們今天所看到和聽到的一切。這意味著,一旦我們全面採用 7 奈米和 DRAM,我們將繼續計劃每年生產 40 到 60 台。但不僅要考慮 7 奈米,我們還必須考慮當時的微處理器,因此仍將有 40 到 60 個單位。但問題是,他們是否打算在 10 和 DRAM 上進行生產插入,還是打算出貨以真正確保他們能夠在生產中將 EUV 投入學習?

  • Is that a clear answer, John?

    這是一個明確的答案嗎,約翰?

  • John Pitzer - Analyst

    John Pitzer - Analyst

  • Yes, Peter, that's very helpful. I guess as my follow-up, Peter, it clearly didn't show up in either the June quarter ASP trends or gross margin trends, but one of the things coming out of SEMICON West last week was maybe the fact that Nikon might have some eval tools shipping on immersion in the back half of the year.

    是的,彼得,這非常有幫助。我想作為我的後續行動,彼得,它顯然沒有出現在 6 月季度的平均銷售價格趨勢或毛利率趨勢中,但上週 SEMICON West 發布的一件事可能是尼康可能會在下半年推出一些浸入式評估工具。

  • I am just wondering if you could spend a couple of seconds just kind of elaborating on what you think the competitive landscape on immersion looks like over the next kind of 12 to 18 months, and if what you are doing on a holistic lithography is a big enough mode that, even if Nikon becomes more active, you still feel pretty comfortable about your position.

    我只是想知道您是否可以花幾秒鐘詳細闡述一下您認為未來 12 到 18 個月內沉浸式技術的競爭格局如何,以及您在整體光刻技術方面所做的工作是否是一個足夠大的模式,即使尼康變得更加活躍,您仍然對自己的地位感到很滿意。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • To start with the latter, we feel very comfortable about our position, basically driven by the fact that, as you mentioned, we have the latest NXT:1970Ci is a tool that actually just shows record productivity. And in combination with holistic lithography it is very clear that is a proposal that we can offer our customers in logic and in advanced memory production that nobody can match. So we feel very comfortable about the next 12 to 18 months.

    首先從後者開始,我們對自己的立場感到非常滿意,主要是因為,正如您所說,我們擁有最新的 NXT:1970Ci,這是一種實際上顯示出創紀錄生產力的工具。結合整體光刻技術,很顯然,我們可以在邏輯和先進記憶體生產方面為客戶提供無人能比擬的解決方案。因此,我們對未來 12 到 18 個月感到非常有信心。

  • You mentioned SEMICON last week. You know, SEMICON last week is clearly a forum where people present themselves and they have to -- they do product introductions and there are strong marketing type statements. That's also how you need to actually look at it. I would look at the order flow and I would look at who buys what, and you will see going forward that the choice for leading-edge immersion will definitely be falling on ASML.

    您上週提到了 SEMICON。您知道,上週的 SEMICON 顯然是一個人們展示自己的論壇,他們必須——他們進行產品介紹,並發表強有力的營銷類型的聲明。這也是你真正需要看待它的方式。我會查看訂單流,查看誰購買了什麼,然後你會看到,未來尖端浸入式光刻機的選擇肯定會落在 ASML 身上。

  • John Pitzer - Analyst

    John Pitzer - Analyst

  • Perfect, thanks, Peter. Thank you.

    非常好,謝謝,彼得。謝謝。

  • Operator

    Operator

  • Francois Meunier, Morgan Stanley.

    摩根士丹利的 Francois Meunier。

  • Francois Meunier - Analyst

    Francois Meunier - Analyst

  • Thanks a lot for taking my question. I have got a question about logic, which is quite weak at the moment or is going to be quite weak in the next two quarters. Shall we see the weakness as a push into 2015 and then there is going to be quite a strong snapback in logic? Or is it something that is going to be delayed further, so it's not incremental for the 2015 forecast?

    非常感謝您回答我的問題。我有一個關於邏輯的問題,目前邏輯相當薄弱,或者在接下來的兩個季度將會相當薄弱。我們是否應該將這種疲軟視為 2015 年的一種推動力,然後在邏輯上出現相當強勁的反彈?或者這件事情會進一步推遲,所以對於 2015 年的預測來說這不是一個增量?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Yes, for 2015 -- I think it's too early to give you detailed guidance on 2015. It is clear, like we said in the introductory statements, that this capacity buildup of 14 and 16 nanometer FinFET is slower than we anticipated because it's more complex and also the foundry space is pretty heterogeneous.

    是的,對於 2015 年來說——我認為現在給你關於 2015 年的詳細指導還為時過早。很明顯,就像我們在介紹性聲明中所說的那樣,14 和 16 奈米 FinFET 的產能建設比我們預期的要慢,因為它更複雜,而且代工空間也相當多樣化。

  • It is not that all foundry players are on the same level in terms of their decision-making on what they want to do with their installed capacity or how they want to build out that capacity. Like we said, we have customers that are actually digesting a strong capacity buildup of the last couple of quarters, customers that are building up that particular capacity, and customers that are clearly reevaluating with what speed they should go.

    並非所有代工廠商在如何利用已安裝產能或如何擴大產能的決策方面都處於同一水平。正如我們所說的那樣,我們的客戶實際上正在消化過去幾個季度的強勁產能成長,客戶正在建立特定的產能,而客戶顯然正在重新評估他們應該以什麼樣的速度前進。

  • Now looking at those reasons it will be very difficult, if it is difficult, to assess and to gauge how the, let's say, technical complexities will be overcome and who will then move first also in the context of, let's say, a customer competitive environment for our foundries, which is also a change. You can understand it's a bit difficult to answer your question that this will snapback at that moment, day, and time.

    現在看看這些原因,如果很難評估和衡量如何克服技術複雜性,以及誰會首先採取行動,這也是一個變化。您可以理解,回答您的問題有點困難,即這會在那一刻、那一天和那個時間突然恢復。

  • 14 nanometer and 16 nanometer FinFET capacity, we believe, together with 20 nanometer planar will need about 300,000 wafer starts. Now to actually assess when that will happen is a bit difficult, but it will happen over time.

    我們認為,14 奈米和 16 奈米 FinFET 產能加上 20 奈米平面產能將需要約 30 萬片晶圓。現在要真正評估何時會發生這種情況有點困難,但它會隨著時間的推移而發生。

  • Francois Meunier - Analyst

    Francois Meunier - Analyst

  • That's very clear. Maybe just a quick question then about the laser source. We have heard from Gigaphoton, which is a competitor of Cymer, that they have a laser source for EUV which is now working at 92 watts, or at least that's what they claim. Maybe can you tell us where or how strong your EUV source is performing at the moment? Thank you.

    這非常清楚。那麼也許只是一個關於雷射光源的簡單問題。我們從 Cymer 的競爭對手 Gigaphoton 那裡聽說,他們有一個用於 EUV 的雷射源,現在的工作功率為 92 瓦,或者至少他們是這麼聲稱的。也許您能告訴我們您的 EUV 來源目前在哪裡運行或運行強度如何?謝謝。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Yes, that's a good comment. Basically that comment on the watts was where we were a couple of years ago. So the focus on watts -- if you don't have watts, you don't have light, you don't have EUV. It's that simple.

    是的,這是一條很好的評論。基本上,關於瓦特的評論就是我們幾年前的狀況。因此重點是瓦特——如果沒有瓦特,就沒有光,就沒有 EUV。就這麼簡單。

  • I would like you, perhaps also the listeners, to turn to slide 21 on the -- in the presentation package. Basically shows that power is only one of the elements that drives good wafers per day. And that is ultimately what customers currently want.

    我希望你們,也許還有聽眾,翻到簡報中的第 21 張投影片。基本上表明,電力只是驅動每天生產出優質晶圓的要素之一。這最終也是客戶目前想要的。

  • Europe, that particular 92 watts we are, of course, very much aware of because we are in close contact with them. That has been shown for a very, very short period of time. You could solve seconds or, let's say, parts of a second, so that is just a capability which is very, very, I would say, rudimentary. And that's where we were a couple of years ago.

    歐洲,特別是那 92 瓦,我們當然非常了解,因為我們與他們保持著密切聯繫。這已經在很短的時間內顯現出來了。你可以解決秒數,或者說,秒的幾分之一秒的問題,所以這只是一個非常非常基本的功能。這就是我們幾年前的狀況。

  • Now if you want a good wafers per day, look at slide 21. Good wafers per day are driven by source power, by source availability, and by the scanner. And it all needs to work together. Now, the source power -- and you're talking about the source power -- has three main components that drive good wafers per day, which is the laser power and we have talked about that; the conversion efficiency, how much EUV life can you get out of that laser and out of the EUV plasma; and the dose margin.

    現在,如果您想要每天生產優質的晶圓,請看投影片 21。每天生產出優質的晶圓取決於光源功率、光源可用性以及掃描器。所有這些都需要協同工作。現在,源功率——您正在談論源功率——有三個主要部件,它們每天驅動優質晶圓,即激光功率,我們已經討論過這一點;轉換效率,可以從激光器和 EUV 等離子體中獲得多少 EUV 壽命;以及劑量裕度。

  • Now those are three elements and that only -- that will determine -- three major elements that will determine the source power. They have source -- the source availability is driven by, which currently is the most important part, that is driven by automation, collector protection, droplet generator reliability, the drive laser reliability. And then it needs to work together with the scanner where you can have the optical transmission improve, optimize the overhead, which is the waiting time, resist sensitivity. And it all together brings you good wafers per day.

    現在,這三個要素是唯一能夠決定源動力的三個主要要素。他們有源——源可用性由自動化、收集器保護、液滴發生器可靠性、驅動雷射可靠性驅動,這是目前最重要的部分。然後它需要與掃描器協同工作,這樣可以改善光學傳輸,優化開銷,即等待時間,抵抗靈敏度。所有這些加在一起,每天就能為您帶來美味的薄餅。

  • So when you talk about a power, a laser source that is much, much more that ultimately did and determines what customers want. And that is why you have to look at that particular comment from Gigaphoton in that context.

    因此,當您談論功率時,雷射光源的作用遠不止於此,它最終決定了客戶的需求。這就是為什麼你必須在這種背景下看待 Gigaphoton 的那條特定評論。

  • Francois Meunier - Analyst

    Francois Meunier - Analyst

  • Okay, that's very clear. Thank you, Peter.

    好的,很清楚。謝謝你,彼得。

  • Operator

    Operator

  • Timothy Arcuri, Cowen and Co.

    提摩西‧阿庫裡,考恩公司

  • Timothy Arcuri - Analyst

    Timothy Arcuri - Analyst

  • Peter, I wanted to ask about the 3-D NAND commentary. Now you are saying that there is very little volume being added during the back half of this year, which makes sense certainly given the lead times and the struggles from the one customer who has tried to ramp it. But it also sounds like that customer has maybe worked through some of the key process issues, yet it sounds like you are sort of downticking on the overall 3-D NAND ramp, even looking out past the second half of this year.

    彼得,我想問 3-D NAND 的評論。現在你說今年下半年的產量增加很少,考慮到交貨時間和試圖增加產量的一位客戶遇到的困難,這當然是有道理的。但聽起來客戶可能已經解決了一些關鍵的製程問題,但聽起來你們在整體 3D NAND 成長方面有所下滑,甚至展望今年下半年也是如此。

  • So maybe can you go into a little more detail about that? I am trying to fit those two comments. Thanks.

    那你能更詳細地講一下這個嗎?我正在嘗試使這兩則評論符合。謝謝。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Of course, we're never going to talk about one specific customer. I think 3-D NAND is relevant in the context of the NAND market in total.

    當然,我們永遠不會談論某個特定的客戶。我認為 3-D NAND 與整個 NAND 市場息息相關。

  • Now, as you just mentioned, there are issues in terms of the complexity of the new production methodology and the architecture, which is normal when you are at the beginning of a new technology. But you have to look at how many bits do we need next year?

    現在,正如您剛才提到的,在新生產方法和架構的複雜性方面存在一些問題,這在開始採用一項新技術時是正常的。但是你必須考慮明年我們需要多少比特?

  • Well, if we need next year about mid-40% bits, that needs to be provided by the industry. And that means if it isn't -- if the ramp of 3-D NAND is slower, it has to be taken up by something else, which is 2-D planar. When we listen to customers in general, then several of our customers have actually announced one or even two generations of 2-D planar devices for the next years.

    好吧,如果我們明年需要大約 40% 左右的比特,那就需要由行業來提供。這意味著如果不是——如果 3-D NAND 的成長速度較慢,那麼它就必須被其他東西(即 2-D 平面)所取代。當我們總體上聽取客戶的意見時,我們發現我們的一些客戶實際上已經宣佈在未來幾年推出一代甚至兩代二維平面設備。

  • So that actually means that if you talk about 45% or, let's say, mid-40% bit growth next year, which is about 100,000 wafers starts capacity that needs to be added, whereby limited part will be through 3-D NAND, a lot needs to go through 2-D. And that actually coincides very well with a statement that many of our customers made that they are planning one or two generations of 2-D planar for the next two years.

    所以這實際上意味著,如果你談論明年 45% 或 40% 左右的位元增長,那麼就需要增加大約 100,000 個晶圓的啟動容量,其中有限的部分將透過 3-D NAND 實現,很多部分需要透過 2-D 實現。這實際上與我們許多客戶的聲明非常吻合,他們計劃在未來兩年內推出一到兩代 2-D 平面產品。

  • Timothy Arcuri - Analyst

    Timothy Arcuri - Analyst

  • Okay, thanks so much for that. Then, Peter, if I look at your performance relative to your peers, if you strip out service and EUV, it looks like you are going to be about flat year-over-year this year on a wafer fab equipment market that's growing somewhat. It is debatable how much it's growing, but it's probably growing 10%, maybe 15% this year.

    好的,非常感謝。然後,彼得,如果我看一下貴公司相對於同行的表現,如果剔除服務和 EUV,那麼看起來今年在晶圓廠設備市場上,貴公司的業績將與去年同期持平,而且市場有所增長。它的成長速度還有待商榷,但今年的成長速度可能為 10%,甚至 15%。

  • So it sort of implies that the intensity of litho, of your core ex-EUV, is actually going to decline this year. Is that just timing and should that snap back next year? Certainly, even if you strip out EUV, you would think that that snaps back next year, but I'm wondering why it's down this year. Thanks.

    所以這有點暗示著光刻強度,即核心的 EUV 強度,今年實際上會下降。那隻是時間問題嗎?明年應該會恢復嗎?當然,即使你去掉 EUV,你也會認為明年它會回升,但我想知道為什麼今年它會下降。謝謝。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • It's Wolfgang, Timothy. Little bit of that is timing. If you remember, there were quite significant shipments at the end of 2013 to facilitate the initial capacity build out of 20 nanometer.

    我是沃夫岡,提摩西。其中有一點是時間問題。如果你還記得的話,2013 年底的出貨量相當可觀,促進了 20 奈米的初始產能建設。

  • We've also always said that our revenues are not to be correlated with CapEx spending or other spending. Sometimes it's higher, sometimes it's lower. Peter said already for 2015 we do not have the visibility to guide specific numbers, but there are certainly certain trends there that make us feel confident. Peter talked about the EUV systems, 3350s carry a higher ASP than the 3300s and depending on the volume we ship there, the upgrade of the 3300s to 3350s are (inaudible) either.

    我們也一直說,我們的收入不應與資本支出或其他支出相關聯。有時較高,有時較低。彼得已經表示,對於 2015 年,我們無法預測具體的數字,但肯定存在一些讓我們感到有信心的趨勢。 Peter 談到了 EUV 系統,3350 的平均售價高於 3300,根據我們在那裡發貨的數量,3300 到 3350 的升級也是(聽不清楚)。

  • Peter also mentioned logic. While we can't talk about the exact timing of the rollout of 16 and 14 in particular, we are certain based on a discussion with our customers that we get to the 300,000 wafer starts. And we estimate by the end of this year it's going to be about 120,000-ish that has been delivered so that leaves still quite a bit for 2015 and the early part of 2016 possibly.

    彼得也提到了邏輯。雖然我們無法具體談論推出 16 和 14 的具體時間,但根據與客戶的討論,我們確信我們將達到 300,000 片晶圓的產量。我們估計,到今年年底,交付量將達到 12 萬輛左右,因此,2015 年和 2016 年初的交付量可能相當可觀。

  • DRAM and NAND look promising. NAND is a pretty steady machine at 40% or so bit growth and that requires 100,000 wafer start capacity expansion. So we are quite positive overall. DRAM, we are planning in the mid-20s. There is a lot of debate whether that could be a little bit higher as we also shift a little bit from PC DRAM to more mobile DRAM, which is more litho intensive.

    DRAM 和 NAND 看起來很有前景。 NAND 是相當穩定的機器,位元成長率約 40%,需要 100,000 片晶圓啟動產能擴張。因此我們總體上還是非常樂觀的。 DRAM,我們計劃在20年代中期實現。關於這個數字是否應該更高一些存在很多爭論,因為我們也從 PC DRAM 稍微轉向了光刻密集度更高的行動 DRAM。

  • Overall, there's a couple of good catalysts in there, but sufficient uncertainty that we can't give you an exact number there.

    總體而言,其中有幾個好的催化劑,但由於不確定性較高,我們無法給出確切的數字。

  • Timothy Arcuri - Analyst

    Timothy Arcuri - Analyst

  • Great, guys. Thanks so much.

    太棒了,夥計們。非常感謝。

  • Operator

    Operator

  • Sandeep Deshpande, JPMorgan.

    摩根大通的 Sandeep Deshpande。

  • Sandeep Deshpande - Analyst

    Sandeep Deshpande - Analyst

  • Yes, thank you. Can I ask a question on EUV? You have had -- there have been push outs of three EUV tools into next year. How do you see the progress of EUV now? If suppose you are on schedule to ship into production in 2016 for one layer or whatever in the foundries, how do you see the progress of this tool into next year and into 2016 in terms of units? And then I have one follow-up.

    是的,謝謝。我可以問一個關於 EUV 的問題嗎?您已經有三種 EUV 工具被推遲到明年推出。現在您如何看待EUV的進展?如果假設您計劃在 2016 年將該產品投入生產,用於代工廠的一層或多層,那麼就單位數量而言,您如何看待這款工具在明年和 2016 年的進展情況?然後我還有一個後續問題。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Yes, you talk about a push out. I wouldn't call it that in a way. Those are three systems that were for customers that were in the back of the line, I would say, and those customers were not the first ones to introduce EUV in R&D.

    是的,您說的是推出。從某種程度上來說我不會這麼稱呼它。我想說,這三個系統是為處於生產線最後階段的客戶準備的,而這些客戶並不是第一批在研發中引進 EUV 的客戶。

  • The first ones that introduced EUV in R&D already received their 3300 last year and the beginning of this year, and those will be the ones that will put the 3350 into production. But there is all the set of customers (technical difficulty). So those customers that actually received the first 3300, those are the ones that are planning for introduction in production next year.

    首批在研發中引入 EUV 的公司已於去年和今年年初推出了 3300 型設備,而這些公司也將把 3350 型設備投入生產。但還有所有的客戶(技術難度)。因此,那些實際收到首批 3,300 台的客戶,就是那些計劃明年投入生產的客戶。

  • But all the customers that also need to do EUV are [in the end]. Those customers are in, you could say, the back of the line of the 3300s and those customers now have an opportunity with the 3350 coming online to say, listen, if we need to do the R&D, let's try to do it on the 3350. And we would much rather do that because the specifications are better.

    但最終所有客戶也都需要使用 EUV。可以說,這些客戶處於 3300 系列的後方,而隨著 3350 的推出,這些客戶現在有機會說,聽著,如果我們需要進行研發,那就嘗試在 3350 上進行。我們更願意這樣做,因為規格更好。

  • It's different types of customers, so it's not a push out. It is basically a requirement of those customers to get access to the better performance of the 3350, which they say we are happy to live with that to receive the tool a bit later because it gives us better opportunity in R&D. So that's what it is.

    由於客戶類型不同,因此這並不是一種推銷。這基本上是那些客戶的要求,以獲得 3350 的更好性能,他們說我們很高興能稍後收到該工具,因為它為我們提供了更好的研發機會。事實就是這樣。

  • Sandeep Deshpande - Analyst

    Sandeep Deshpande - Analyst

  • Thanks, Peter. And a follow-up question from me on the regular tools. There is this uncertainty in the foundry market.

    謝謝,彼得。我還有一個關於常規工具的後續問題。代工市場存在這種不確定性。

  • Would you say how are you prepared to ship? In the sense that if one of your foundry customers came to you in the fourth quarter and said that we need a large number of tools to be shipped in the next three or four months, can ASML do that? Or essentially this 14, 16 nanometers for production in the middle of next year could not happen because the customer hasn't placed -- given you the indication that they need the tools? Thanks.

    請問您準備如何出貨?從某種意義上說,如果您的一個代工客戶在第四季度找到您,並說我們需要在未來三到四個月內發貨大量工具,ASML 可以做到嗎?或者本質上,明年年中生產的 14,16 奈米製程無法實現,因為客戶尚未向您表明他們需要這些工具?謝謝。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I think we are preparing -- like I said earlier, and I think it was the first question that John asked, we are preparing, based on the discussion we have had with customers on the potential insertion of EUV next year of 10 nanometer and DRAM, that -- two of those customers in logic, one customer in DRAM. And it will be a limited number of layers, one or two layers, will give us 12 to 15 units that they need that our simulation tells us.

    我認為我們正在準備 - 就像我之前說的,我認為這是約翰問的第一個問題,我們正在準備,基於我們與客戶就明年 10 納米和 DRAM 中引入 EUV 的潛在討論,其中兩個客戶從事邏輯業務,一個客戶從事 DRAM 業務。而且它的層數是有限的,一層或兩層,將為我們提供模擬所需的 12 到 15 個單元。

  • So we are preparing for this, which actually means that if they need it they will have somewhere in the second half of the year to take that position and tell us when they want those tools. So if they want more than 50 units, we are in trouble. We don't think that based on the simulation and our discussions that there will be a lot more than those three customers, one DRAM or two logic, that will require a significant number of tools.

    所以我們正在為此做準備,這實際上意味著,如果他們需要的話,他們可以在今年下半年的某個時候採取這一立場並告訴我們他們何時需要這些工具。所以如果他們想要超過 50 個單位,我們就會有麻煩了。根據模擬和討論,我們認為需要大量工具的客戶不會比這三個客戶(一個 DRAM 或兩個邏輯)多得多。

  • So I think we are okay and I think we will be able to ship as the 3350 is part of our regular production program, so we will be able to ship 3350s throughout the year.

    所以我認為我們沒問題,而且我認為我們能夠發貨,因為 3350 是我們常規生產計劃的一部分,所以我們將能夠全年發貨 3350。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • Sandeep, on immersion, just because we are not giving guidance for 2015 does not mean that we are not having various detailed discussions with our customers about the ramps there. We will be prepared for whatever they want to do and if you -- we've talked in the past about our inventory strategy. We have also incorporated certain buffer tools there so that we are not going to be the bottleneck and the limiter of potential wafer start ramps.

    Sandeep,就沉浸式體驗而言,我們沒有給出 2015 年的指導,並不意味著我們沒有與客戶就那裡的坡道進行各種詳細的討論。無論他們想做什麼,我們都會做好準備——我們過去曾談過我們的庫存策略。我們也在那裡加入了某些緩衝工具,這樣我們就不會成為潛在晶圓啟動坡道的瓶頸和限制因素。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • It's a good comment, Wolfgang, because we need to realize that if customers would decide -- some people ask the question is that -- well, what if they decide not to insert in a production and we go into it? We need the tools for learning for 7 so we are going to do kind of a shadow production in [10].

    沃爾夫岡,這是個很好的評論,因為我們需要意識到,如果客戶決定——有些人會問這樣的問題——那麼,如果他們決定不參與製作而我們參與其中怎麼辦?我們需要 7 的學習工具,因此我們將在 [10] 中進行某種陰影製作。

  • What that means for immersion, to Wolfgang's point, we need to make sure that then the immersion demand will be significant. So we need to prepare either/or and that means that we have some flexible arrangements made in the supply chain and also in our own work and our process to make sure that we have sufficient graphic tooling for -- in the case that they need more immersion tools.

    這對於沉浸感意味著什麼,正如沃夫岡所說,我們需要確保沉浸感的需求將會很大。因此,我們需要準備“非此即彼”,這意味著我們在供應鏈以及我們自己的工作和流程中做出了一些靈活的安排,以確保我們有足夠的圖形工具——以防他們需要更多的沉浸式工具。

  • Sandeep Deshpande - Analyst

    Sandeep Deshpande - Analyst

  • Thank you, Peter and Wolfgang.

    謝謝你,彼得和沃夫岡。

  • Operator

    Operator

  • Srini Sundararajan, Summit Research.

    Srini Sundararajan,高峰會研究。

  • Srini Sundararajan - Analyst

    Srini Sundararajan - Analyst

  • Thanks for taking my question. If you look at the EUV infrastructure, what area are you still most concerned about for a 2016 introduction?

    感謝您回答我的問題。如果您看一下 EUV 基礎設施,那麼對於 2016 年的推出,您最關心的是哪個領域?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I think, when you look at the infrastructure, everything is in principle there because we are processing EUV wafers so we have EUV masks. We do defect inspection. There is photoresist, so it is in principle all there.

    我認為,當你查看基礎設施時,原則上一切都已存在,因為我們正在處理 EUV 晶圓,所以我們有 EUV 掩模。我們進行缺陷檢查。有光阻,所以原則上一切都在那裡。

  • But when you go into industrialization and you need, for instance, a higher volume of photoresist, just to name one, that also means that quality control on bigger volumes of gallons of -- hundreds of gallons of that photoresist needs to actually happen and that needs to be organized. Same for mask blanks or mask inspection can be done currently without the specific mask inspection tool that is warranted when we go into more EUV layers at N7, but for the meantime we have solutions how we can do that.

    但是當你進入工業化階段時,你需要更大的光阻用量,這也意味著需要對更大體積(數百加侖)的光阻進行品質控制,而且需要進行組織。同樣,當我們進入 N7 的更多 EUV 層時,目前可以在沒有特定掩模檢查工具的情況下對掩模空白或掩模進行檢查,但與此同時,我們有解決方案可以做到這一點。

  • So it's really the ramp to what we call industrialization where you know that you need between 500 and 1,000 wafers per day second half of 2015 on a regular basis with the mask infrastructure there, the mask blanks there, the defect detection there, the photoresist there, which is currently more in a research state now.

    因此,這實際上是我們所說的工業化的階段,你知道,從 2015 年下半年開始,你需要每天生產 500 到 1,000 片晶圓,那裡有掩模基礎設施、掩模坯料、缺陷檢測和光刻膠,目前這些都處於研究狀態。

  • So it's not one particular thing. It is the culmination of all these things that need to be tackled one by one. There's not one sticking out that we feel is a major issue.

    所以這不是某件特定的事情。所有這些問題都需要逐一解決。我們認為沒有一個突出的問題會成為重大問題。

  • Srini Sundararajan - Analyst

    Srini Sundararajan - Analyst

  • Okay, just a follow-up. Actually, given that you do not breakout DRAM and NAND in memory and also given that customers can use previous generation immersion tools for 3-D NAND, how confident are you that they may not be sneaking in 5,000 to maybe 15,000 wafer starts per month 3-D NAND capacity in the second half of the year?

    好的,只是後續問題。實際上,考慮到您沒有在內存中突破 DRAM 和 NAND,並且考慮到客戶可以使用上一代浸沒式工具進行 3-D NAND,您是否確信他們可能不會在下半年偷偷投入每月 5,000 到 15,000 片晶圓的 3-D NAND 產能?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I think, speaking, we don't know. If they want to move one tool or one fab to another fab, then they need it so that we know. But in one particular fab they are going to run DRAM instead of NAND we don't know, or NAND instead of DRAM we don't know. So this is why we don't break it out because we don't know this.

    我認為,說實話,我們不知道。如果他們想將一個工具或一個晶圓廠移動到另一個晶圓廠,那麼他們需要它,以便我們知道。但在某個特定的晶圓廠,他們是否會運行 DRAM 而不是 NAND(我們不知道),或者運行 NAND 而不是 DRAM(我們不知道)。這就是為什麼我們不把它分解出來,因為我們不知道這一點。

  • I think it's pretty clear when they move from one fab to the other, but in other cases we don't know. So to answer your question, yes, things could happen that (multiple speakers).

    我認為當他們從一個晶圓廠轉移到另一個晶圓廠時,情況就很清楚了,但在其他情況下,我們並不知道。所以回答你的問題,是的,可能會發生這樣的事情(多位發言者)。

  • Srini Sundararajan - Analyst

    Srini Sundararajan - Analyst

  • Actually, you didn't answer my question. All I am saying is that for 3-D NAND you cannot really know whether there will be capacity, like a small 5,000 wafer starts per month to 10,000 wafer starts per month. I think that the customer can easily do that in the second half of the year without attracting too much notice.

    實際上,你沒有回答我的問題。我只是想說,對於 3-D NAND,你無法真正知道是否會有產能,例如每月 5,000 片晶圓到每月 10,000 片晶圓。我認為客戶可以在下半年輕鬆做到這一點,而不會引起太多注意。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Sure, sure, they can always do this so it's not a question. You just made a comment, which -- your comment, which is great. Yes, that could happen. [That] small capacity could always be used for either/or.

    當然,當然,他們總是可以做到這一點,所以這不是一個問題。您剛才發表了評論,您的評論很棒。是的,這有可能發生。小容量總是可以用於非此即彼。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • As long as the market consumes the incremental 40% split, we've got to add that 100,000 wafer starts either/or through the year if the industry wants to deliver that increase in storage capacity.

    只要市場消化了增量的 40% 份額,如果產業想要實現儲存容量的增加,我們就必須在全年增加 10 萬個晶圓的啟動量。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I will tell you one thing, in discussions we have had with the customers in the NAND space several customers have indicated the extension of their planar node roadmap very clearly and they are executing on it.

    我要告訴你一件事,在我們與 NAND 領域客戶的討論中,一些客戶非常明確地表明了他們的平面節點路線圖的擴展,並且他們正在執行它。

  • Srini Sundararajan - Analyst

    Srini Sundararajan - Analyst

  • And are they going to go to 12 nanometers beyond this?

    他們還會進一步發展至 12 奈米嗎?

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • I'm afraid we're going up to move on to the next questioner, the next caller, please.

    恐怕我們要繼續提問了,請下一個提問者,下一個打電話的人。

  • Srini Sundararajan - Analyst

    Srini Sundararajan - Analyst

  • Thanks so much.

    非常感謝。

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Sure, you're welcome. And while I have this opportunity, could I ask everybody, if it's convenient at all, to mute your mics, because are getting a lot of feedback? After you ask a question if you could mute your side, that would be appreciated, thanks. Operator?

    當然,別客氣。趁著這個機會,如果方便的話,我能否請大家將麥克風靜音,因為你們收到了很多回饋?如果您在提問後可以將自己這邊靜音,我們將非常感激,謝謝。操作員?

  • Operator

    Operator

  • Gareth Jenkins, UBS.

    瑞銀的加雷斯‧詹金斯。

  • Gareth Jenkins - Analyst

    Gareth Jenkins - Analyst

  • Thanks. If we roll forward to the end of next year and as we start to approach the 1,500 wafers per day, can you just give us a sense of what the wafer cost would look like versus a full immersion situation for 10 nanometers, please?

    謝謝。如果我們到明年年底,當我們開始接近每天 1,500 片晶圓時,您能否告訴我們晶圓成本與 10 奈米完全浸沒情況相比會是多少?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I cannot give you the details. The only thing I can tell you is what our customers tell us. If you deliver 1,500 wafers per day, this is the target.

    我無法向你提供詳細資訊。我唯一能告訴你的是我們的客戶告訴我們的。如果你每天交付 1,500 片晶圓,這就是目標。

  • They make the cost calculations and they feel that is the target. It's not our number, it's their number so it should be okay.

    他們進行了成本計算並認為這就是目標。這不是我們的號碼,而是他們的號碼,所以應該沒問題。

  • Gareth Jenkins - Analyst

    Gareth Jenkins - Analyst

  • Secondly, Peter, I just wondered if you could give a sense on -- metrology is obviously increasingly important in an EUV environment. Can you just give us a sense around YieldStar, around the latest product release, what the improvements are and you expect from YieldStar going forwards?

    其次,彼得,我只是想知道您是否可以談談——計量在 EUV 環境中顯然越來越重要。您能否向我們介紹 YieldStar 及其最新發布的產品,有哪些改進,以及您對 YieldStar 未來的期望?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Yes, when we -- when customers shrink and the resolution is getting smaller and smaller, and especially in the immersion space, but it will also be true in the EUV era, but you are seeing that from a mechanical point of view. And from a machine control point of view there are limits to how far we can push it.

    是的,當客戶規模縮小,解析度越來越小,尤其是在沉浸式空間中,但在 EUV 時代也是如此,但您是從機械角度看到這一點的。從機器控制的角度來看,我們能推動的程度是有限的。

  • So when you talk about six, seven, eight passes, potentially nine passes on a critical layer on the M10 that means that we are -- there are boundaries to which you can control the machine so you need that software. And actually that software, the 250D, the yields at 250D is particularly important for us because the focus on -- the overlay focus and that uniformity throughout the wafer that is bringing our customers the possibilities to control yield. Because, as you can imagine, if you have to do nine passes for one particular layer that you need to be very, very close to your targets because every pass gives you a small variation and times nine it grows very, very fast.

    因此,當您談論在 M10 上的關鍵層上進行六次、七次、八次甚至九次傳遞時,這意味著我們 - 您可以控制機器的界限,因此您需要該軟體。實際上,250D 軟體的產量對我們來說尤其重要,因為重點關注——覆蓋焦點和整個晶圓的均勻性為我們的客戶帶來了控制產量的可能性。因為,正如你所想像的,如果你必須對一個特定的層進行九次傳遞,那麼你需要非常非常接近你的目標,因為每次傳遞都會給你一個很小的變化,乘以九,它就會增長得非常非常快。

  • So that's why the importance of metrology and the improved performance of the 250D in terms of uniformity and overlay becomes a very important element and an integral part of the whole lithography solution. So that's not -- it will go up in terms of significance. And with EUV, where the resolution is going down, that is going to be the same. The smaller the resolution, the more metrology we actually need.

    因此,計量的重要性以及 250D 在均勻性和覆蓋範圍方面的改進性能成為整個光刻解決方案的一個非常重要的元素和不可或缺的一部分。所以這並不是說——它的重要性會上升。對於 EUV 來說,解析度會下降,情況也是一樣的。解析度越小,我們實際上需要的計量就越多。

  • Gareth Jenkins - Analyst

    Gareth Jenkins - Analyst

  • Thanks.

    謝謝。

  • Operator

    Operator

  • Stephane Houri, Natixis.

    法國外貿銀行的 Stephane Houri。

  • Stephane Houri - Analyst

    Stephane Houri - Analyst

  • Good afternoon. A question about the gross margin. If you could help us understand the evolution of the gross margin going forward and let us know if the decline expected in Q3 is only due to the increased number of EUV tools you are going to deliver and what will be the gross margin under the three tools shifted to 2015. Thank you.

    午安.關於毛利率的問題。如果您能幫助我們了解未來毛利率的變化情況,並讓我們知道第三季度預計的下降是否僅僅是由於您交付的 EUV 工具數量增加,以及轉移到 2015 年的三種工具的毛利率是多少。謝謝。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I will take a crack at that. Our gross margin is obviously impacted by numerous things. Overall, the trend of our gross margin, our business is up.

    我會嘗試一下。我們的毛利率顯然受到多種因素的影響。整體而言,我們的毛利率和業務趨勢呈上升趨勢。

  • To give you a flavor, if you would add the first two quarters and add the guidance for the third quarter we are somewhere between 43.5% and 44%. The last full year was 41.5% so our margin trend is up and that's aided by the most advanced immersion tools. The product mix is also aided by service and holistic lithography.

    為了讓您了解一下,如果您將前兩個季度和第三季的指導加在一起,我們的成長率將在 43.5% 到 44% 之間。去年全年的利潤率為 41.5%,因此我們的利潤率趨勢是上升的,這得益於最先進的沉浸式工具。服務和整體光刻技術也為產品組合提供了輔助。

  • Margins are negatively impacted for now based on the EUV tools that we are shipping. You are absolutely right; Q3, when we recognized two tools versus one in the prior quarter, that has an impact somewhere around 2% or so. So that's one impact.

    目前,由於我們正在運送 EUV 工具,利潤率受到了負面影響。你完全正確;第三季度,我們認識到有兩種工具,而上一季度只有一種,這產生的影響大約是 2% 左右。這就是一個影響。

  • The other one is the mix of products, but also the mix of type of customers that we ship to because memory tools are differently configured than a logic tool for instance. If you look at last quarter, Q2, it was an exceptional quarter, so the ASP went up from EUR26 million to EUR40 million. We are not forecasting quite that high of an ASP in Q3, so we have a bit of an impact there.

    另一個是產品組合,也是我們出貨的客戶類型的組合,因為記憶體工具的配置與邏輯工具的配置不同。如果你看一下上個季度,即第二季度,那是一個特殊的季度,因此平均銷售價格從 2600 萬歐元上漲至 4000 萬歐元。我們預測第三季的平均售價不會那麼高,因此會受到一些影響。

  • Another impact is if your overall revenue goes down quarter over quarter we have some fixed costs in the business so the coverage goes down a little bit and there is a little bit of absorption. So those are some of the impacts.

    另一個影響是,如果您的整體收入逐季度下降,我們的業務中就會有一些固定成本,因此覆蓋率會略有下降,吸收量也會有一點下降。這些就是一些影響。

  • As it relates to the 3300 that will become 3350, I think I mentioned in a prior question we will charge for that upgrade. And that will not make the situation worse, it will make it a little bit better.

    由於它與即將升級為 3350 的 3300 相關,我想我在之前的問題中提到過,我們將對此次升級收費。這不會使情況變得更糟,而會使情況稍微好一點。

  • Stephane Houri - Analyst

    Stephane Houri - Analyst

  • Okay, thank you very much.

    好的,非常感謝。

  • Operator

    Operator

  • C.J. Muse, ISI Group.

    ISI 集團的 C.J. Muse。

  • C.J. Muse - Analyst

    C.J. Muse - Analyst

  • Good morning. Thank you for taking my question. I guess first question was hoping to dig a little bit deeper on the foundry side.

    早安.感謝您回答我的問題。我想第一個問題是希望在代工方面進行更深入的挖掘。

  • And I guess, forgive my multipart question, but first in terms of the delay. Can you talk about what's driving it in terms of complexity versus demand visibility versus maybe some of the volatility related around the strategic alliance between GF and Samsung?

    我想,請原諒我提出多個問題,但首先要問的是延遲問題。您能否從複雜性、需求可見度以及 GF 和三星之間的策略聯盟相關的一些波動性方面談談推動這一趨勢的因素?

  • Then, as part of that, can you share what kind of capacity will have been added exiting calendar 2014 as part of the 300,000 wafer starts that you have highlighted as the likely outcome? Then, finally, with this push on 20 and below, what does that mean for backfill for increased demand for 28 nanometer equipment?

    那麼,作為其中的一部分,您能否分享一下,在 2014 年底之前,作為您強調的可能結果的 300,000 片晶圓投產的一部分,將增加什麼樣的產能?那麼,最後,隨著 20 奈米及以下製程的推進,這對於滿足 28 奈米設備日益增長的需求意味著什麼?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Okay, the capacity -- I think Wolfgang added this. With our current shipment pattern we believe that we will be around 120,000 wafer starts on the 20/16/14 nanometer node, so out of the 300. So we are less than halfway.

    好的,容量——我認為沃爾夫岡添加了這個。按照我們目前的出貨模式,我們相信在 20/16/14 奈米節點上我們將生產約 12 萬片晶圓,總共 300 片。所以我們還不到一半。

  • And the reason to want to dig deeper, what is the main reason? Whether it's the complexity, it's more difficult, whether it's the end demand, whether it's the competitive situation and choices that fabless companies need to make, it is very difficult for us to figure that out what it is.

    而之所以想深入挖掘,主要原因是什麼?無論是複雜性,還是難度,無論是最終需求,還是競爭狀況以及無晶圓廠公司需要做出的選擇,我們都很難弄清楚它是什麼。

  • We know that definitely it's difficult. We know also that there is a competitive situation that, of course, we are not part of because it's something that's between our customers and their customers. How that is played we don't know.

    我們知道這確實很難。我們也知道有一種競爭局面,當然我們不參與其中,因為這是我們的客戶和他們的客戶之間的事。我們不知道這是怎麼玩的。

  • So to answer your question, I cannot give you that color. It could be all of it, it could be one or two of those reasons driving it. We don't know.

    因此,要回答你的問題,我無法給你那種顏色。這可能是所有原因造成的,也可能是其中一個或兩個原因造成的。我們不知道。

  • Whether it's -- the last part of your question was whether the delay in the sub 20 is going to have an impact on 28. I think it's disconnected. You are asking basically whether customers are reverting back to 28 instead of sub-20; I don't think that's the case.

    是否——你問題的最後一部分是 20 歲以下兒童的延遲是否會對 28 歲兒童產生影響。我認為這是不相關的。你基本上是在問客戶是否會恢復到 28 而不是 20 以下;我不認為事實是如此。

  • We are seeing that the 28 nanometer node is a strong node. We've always said it and so it will be about a 300,000 wafer start node. We are currently focusing -- I think we have installed 270,000 to 280,000 so we still can see some capacity additions in that space.

    我們看到 28 奈米節點是一個強大的節點。我們一直這麼說,所以這將是一個大約 30 萬個晶圓的起始節點。我們目前的重點是——我認為我們已經安裝了 270,000 到 280,000 個,所以我們仍然可以看到該領域的一些容量增加。

  • We also see second-tier foundries now adding 28 nanometer capacity in other places than the traditional leaders would be. So I think 28 nanometer is going to be a strong node, but I think it's independent of the sub-20 nanometer delay.

    我們還看到二線代工廠現在在傳統領導企業所在地以外的其他地方增加 28 奈米產能。所以我認為 28 奈米將是一個強大的節點,但我認為它與 20 奈米以下的延遲無關。

  • C.J. Muse - Analyst

    C.J. Muse - Analyst

  • Very helpful and if I could just ask a follow-up. In terms of gross margin trajectory into 2015 and trying to not put in an assumption around unit volumes, but I would assume clearly how should we think about I guess the moving parts there in terms of EUV and then I guess the final 10% coming in at a higher margin, holistic lithography increasing, a move to your higher ASP toolset on the immersion side? Can you provide some granularity on how we should think about the trajectory into 2015?

    非常有幫助,如果我可以問後續問題就好了。就 2015 年的毛利率走勢而言,我嘗試不對單位產量做出假設,但我會清楚地假設我們應該如何考慮 EUV 方面的變動部分,然後我猜最後的 10% 會帶來更高的利潤率,整體光刻技術的提高,以及在浸入式方面轉向更高 ASP 工具集?您能否詳細說明我們該如何看待 2015 年的發展軌跡?

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • I will take a crack at it. Again, like we said, our long-term views on margins are up. If we are somewhere in the 43%-ish range, 44%-ish range this year and it came from 41.5%.

    我會嘗試一下。再說一次,就像我們所說的那樣,我們對利潤率的長期看法是上升的。如果今年我們的成長率在 43% 左右,那麼 44% 左右,而這個數字是從 41.5% 開始的。

  • We have said before that three- or four-year timeframe once EUV is matured will be in a situation where we could be closer to 50%. And what are the drivers for that?

    我們之前曾說過,一旦 EUV 成熟,三到四年內我們的覆蓋率將接近 50%。其驅動因素為何?

  • First of all, of course EUV provides no gross margin at all right now. We have hit the 3350, which we are starting to ship next year. We will get somewhere close to 20% or so, and then it will take us, based on our experience curves, like two years or so to get it somewhere in the 40% range. So that's obviously a big contributor to this.

    首先,當然 EUV 目前根本沒有提供任何毛利率。我們的產量已達 3350,並將於明年開始出貨。我們將達到接近 20% 左右的水平,然後根據我們的經驗曲線,大約需要兩年時間才能達到 40% 左右的水平。所以這顯然是造成這現象的重要因素。

  • Holistic lithography is -- we always said it's like 75% of the software business and then 25% the YieldStar is the hardware business, but the blended margin based more those solutions based on the value they provide is somewhere in the 75% to 85% range. And that part of the business is growing faster than the overall revenue that contributes as well.

    整體光刻技術-我們總是說它佔軟體業務的 75%,而 YieldStar 的硬體業務佔 25%,但基於這些解決方案所提供的價值的混合利潤率在 75% 到 85% 之間。這部分業務的成長速度也快於整體營收的成長速度。

  • So where is 15 going to be? If we can't tell the revenue, it's going to be really hard to tell the margin but over the next three or four years I think we will be progressing on that trajectory.

    那麼 15 會在哪裡呢?如果我們不能說出收入,那麼就很難說出利潤率,但在接下來的三到四年裡,我認為我們將沿著這條軌跡前進。

  • C.J. Muse - Analyst

    C.J. Muse - Analyst

  • Very helpful, thank you.

    非常有幫助,謝謝。

  • Operator

    Operator

  • Andrew Gardiner, Barclays.

    巴克萊銀行的安德魯·加德納。

  • Andrew Gardiner - Analyst

    Andrew Gardiner - Analyst

  • Thanks very much. I was just hoping to return to the EUV question. Just in terms of the progress that you've made in the quarter moving from 100 wafers per day the last time we spoke on this call to 200 wafers per day, at the moment can you give a bit more detail around the factors that have driven that?

    非常感謝。我只是希望回到 EUV 問題上。就本季的進展而言,從上次電話會議中提到的每天生產 100 片晶圓增加到每天生產 200 片晶圓,目前您能否更詳細地說明推動這一進程的因素?

  • You've highlighted slide 21 in the deck in terms of source power, system availability, and the scanner. But just in terms of what's got you that initial doubling in productivity in the quarter and that in terms of how -- what we should be looking for in terms of the further upgrade packages coming later this year.

    您已在投影片的第 21 張中重點介紹了來源功率、系統可用性和掃描器。但就本季生產力最初翻倍的原因而言,以及就今年稍後推出的進一步升級方案而言,我們應該期待什麼。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I think when you look at the 200 wafers per day that we have achieved in the second quarter. It was the result of, let's say, a planned upgrade and the upgrade was done by the end of Q1, really resulting in what we talk about today, which is the doubling of the productivity.

    我想,當你看到我們在第二季度實現的每天 200 片晶圓產量時。這是計劃升級的結果,升級在第一季末完成,真正實現了我們今天所說的生產力翻倍。

  • So you could say that the upgrade package that we executed [delivered] (technical difficulty) according to plan, which is always good. It was basically a combination of automation, (technical difficulty) I would say the source availability. There was the stability of the source power, the combination of the two.

    因此,您可以說,我們執行的升級包(技術難度)是按計劃進行的,這總是好的。它基本上是自動化、(技術難度)和來源可用性的結合。有源力的穩定,有兩者的結合。

  • When you go to the 500 wafers per day, so going to slide 21, you could say it's the left upper hand and the right upper hand of the circle that was basically where the improvements were. That will continue in the second half of the year, but the focus will be on the so-called availability packages, which will be on the right-hand side.

    當您每天生產 500 個晶圓時,請轉到投影片 21,您可以說圓圈的左上角和右上角基本上就是改進的地方。這種情況將在今年下半年繼續,但重點將放在右側的所謂可用性包上。

  • So as its source availability, but also the optimization of the overhead because once you have now a system that works together, the source works together with the scanner, you need to optimize the so-called waiting times. There are waiting times when the scanner is not completely ready to receive the EUV light. Those are all software issues and that automation and overhead automation is also part of the availability program.

    因此,除了其來源可用性之外,還需要最佳化開銷,因為一旦您現在擁有一個可以協同工作的系統,來源與掃描器協同工作,您就需要優化所謂的等待時間。當掃描器尚未完全準備好接收 EUV 光時,需要等待一段時間。這些都是軟體問題,自動化和開銷自動化也是可用性程式的一部分。

  • Next to that we have -- for the 3350 we have some better optical (technical difficulty) which will help next year to move it beyond 500, so I would say it's the right-hand side of that circle. It's the automation, it's the drive laser reliability, the overhead optimization that will be the main contributor for the availability packages that we are going to roll out in the second half of the year, bringing us to this 500 wafers per day.

    除此之外,對於 3350,我們有一些更好的光學(技術難度),這將有助於明年將其超越 500,所以我想說它是那個圓圈的右側。自動化、驅動雷射的可靠性和開銷優化將成為我們今年下半年推出的可用性套件的主要貢獻者,使我們的日產量達到 500 片晶圓。

  • Andrew Gardiner - Analyst

    Andrew Gardiner - Analyst

  • Thanks very much. Just a very quick sort of mechanical follow-up, Wolfgang, you said you are charging for the upgrades of the laser from the 3300 to 3350. Now that you're not going to have to install that sort of a second laser, the mobile pre-pulse upgrade that you weren't going to be charging for, is there a difference in terms of the margin of these three devices that have been delayed?

    非常感謝。沃爾夫岡,我來快速問一下機械方面的問題,您之前說過,從 3300 到 3350 的雷射升級是收費的。現在您不需要再安裝第二個雷射了,而移動預脈衝升級您之前是不會收費的,那麼這三種被推遲的設備的利潤率方面有什麼區別嗎?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • No, not on the base 3300.

    不,不在基礎 3300 上。

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • No, because the base 3300 still uses the [mobile pre-build] so you still need the second lasers going. The other upgrades which have to do with the optical (technical difficulty).

    不,因為基礎 3300 仍然使用 [移動預建],所以您仍然需要第二個雷射。其他升級與光學(技術難度)有關。

  • Andrew Gardiner - Analyst

    Andrew Gardiner - Analyst

  • Okay, so the initial will still be 0% gross margin but then another laser on top of that?

    好的,那麼最初的毛利率仍然是 0%,但是在此基礎上還會再增加一台雷射嗎?

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • Plus the upgrade package.

    加上升級包。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Yes, but the upgrade package to the 3350 will have a margin.

    是的,但是升級到3350的包會有餘地。

  • Operator

    Operator

  • Mehdi Hosseini, SIG.

    邁赫迪·胡賽尼(Mehdi Hosseini),SIG。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • Thanks for taking questions. Peter, can you please tell us what you're DRAM customers are telling you regarding the technology roadmap? Specifically 1x, when would they expect to start procuring? And have they resolved the challenges associated with the 1x node DRAM? And I have a follow-up.

    感謝您的提問。彼得,您能否告訴我們您的 DRAM 客戶對技術路線圖的看法?具體來說 1x,他們預計何時開始採購?他們是否解決了與 1x 節點 DRAM 相關的挑戰?我還有一個後續問題。

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • I think this is a good question. I think when we talk about 1x node DRAM we are very quickly talking about EUV, but they are making progress. I think the level of progress that we are making and conquering the 1x challenge, you should really ask our DRAM customers.

    我認為這是一個好問題。我認為當我們談論 1x 節點 DRAM 時,我們很快就會談論 EUV,但他們正在取得進展。我認為,我們所取得的進步和克服 1x 挑戰的水平,你應該問問我們的 DRAM 客戶。

  • But we have intensified -- we can only say from a litho point of view we have intensified the discussions on the next node. That means that they are seeing ways to overcome challenges and EUV has also become very relevant in that respect. I think that's the only thing I can say.

    但我們已經加強了——我們只能說從光刻的角度來看,我們已經加強了對下一個節點的討論。這意味著他們正在尋找克服挑戰的方法,而 EUV 在這方面也變得非常重要。我想這是我唯一能說的話。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • As a follow-up to that, if you were to compare insertion of EUV for 10 nanometer versus EUV 1x DRAM, going back to the commentary about next year, what -- how would you -- what is the probability of one succeeding and not the other one? Do they both have the same probability of succeeding and failure, or is one has a higher probability than the other?

    作為後續問題,如果您要比較 EUV 在 10 奈米和 EUV 1x DRAM 中的插入情況,回到關於明年的評論,您會如何做?其中一個成功而另一個失敗的機率是多少?它們成功和失敗的機率是否相同,或者其中一個機率高於另一個?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Difficult to say now because they are disconnected, as you pointed out. I wouldn't give one a better chance than the other. I think it is basically driven by our ability to show the 500 wafers per day, the upgrades on the productivity.

    正如您所指出的,現在很難說,因為它們是斷開的。我不會給任何人比其他人更好的機會。我認為這主要是因為我們能夠每天生產 500 片晶圓,生產力得到提升。

  • I think from a, let's say, lithography point of view, a resolution point of view, from an EUV performance point (technical difficulty), there is no doubt that they need it. It is about how certain can we plan the availability of the EUV machine to start production? And from that point of view you could say, when you look at the cost focus that DRAM has versus logic, you could say that cost focus would be a bit higher in DRAM because the prices are lower. But it is driven by availability.

    我認為,從光刻的角度、解析度的角度、EUV 性能的角度(技術難度)來看,毫無疑問他們需要它。關鍵在於我們如何確定 EUV 機器的可用性並開始生產?從這個角度來看,您可以說,當您查看 DRAM 與邏輯的成本重點時,您可以說 DRAM 的成本重點會更高一些,因為價格較低。但它是由可用性驅動的。

  • That is the only comment I can make in this context.

    這是我在此背景下能做出的唯一評論。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • Got it. And one question for Wolfgang. With 60% of the buyback already completed, what are your thoughts on capital return, especially now that you have been in the job for six to nine months?

    知道了。我要問沃夫岡一個問題。 60%的回購已經完成,您對資本回報有何看法,尤其是現在您已經上任六到九個月了?

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • Well, (technical difficulty) capital allocation policy that has been established by Peter and the team is just fine. We will continue to regularly assess our minimum cash requirements. We have the ambition to provide an annual dividend that is stable at the minimum, but we attempt to grow it. Last four years we grow it by 15% every single year.

    嗯,(技術難度)Peter 和團隊制定的資本配置政策很好。我們將繼續定期評估我們的最低現金需求。我們的目標是提供至少穩定的年度股息,但我們會嘗試增加它。過去四年我們每年的成長率為 15%。

  • And then the balance between the cash that we have in the bank and the minimum cash balance will depend on investments in our own shares. Over 2013/2014 that's likely going to be $1 billion and then watch out towards the end of the year we will consider what we have to do in 2015 or 2015/2016 combined. We will discuss that with our supervisory board as we come closer to the end of the year.

    然後,我們銀行裡的現金和最低現金餘額之間的平衡將取決於我們對自己股票的投資。 2013/2014 年,這筆金額可能達到 10 億美元,然後到年底,我們將考慮 2015 年或 2015/2016 年合計要做什麼。臨近年底,我們將與監事會討論此事。

  • But, no, clearly no change. What the team has done is totally in line with my philosophy as well.

    但是,沒有,顯然沒有改變。團隊所做的也完全符合我的理念。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • Can you remind me what is the minimum cash you would need for working capital and just the minimum cash in the bank?

    您能否提醒我一下,您需要的營運資金最低現金額和銀行最低現金額是多少?

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • Yes, right now on the gross basis (technical difficulty) conservative financial policy and that's all right. On a gross basis right now our planning assumption is about EUR2 billion. So we are (technical difficulty) room to execute on the remainder of the program.

    是的,現在在總量上(技術難度)採取保守的財政政策,這是可以的。目前,以總額計算,我們的規劃假設約為 20 億歐元。因此,我們還有(技術難度)空間來執行該計劃的剩餘部分。

  • Mehdi Hosseini - Analyst

    Mehdi Hosseini - Analyst

  • Got it, thank you.

    知道了,謝謝。

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Ladies and gentlemen, we're going to try to squeeze one more call in. If you didn't get through and would like to talk to Investor Relations, we are available on both sides of the ocean so just send us a note. Then, operator, could we have the last question, please?

    女士們,先生們,我們將嘗試再接聽一次電話。如果您沒有接通,但想與投資者關係部聯繫,我們在大洋兩岸均可提供服務,因此請給我們留言。那麼,接線員,我們可以問最後一個問題嗎?

  • Operator

    Operator

  • Mahesh Sanganeria, RBC Capital Markets.

    Mahesh Sanganeria,加拿大皇家銀行資本市場。

  • Mahesh Sanganeria - Analyst

    Mahesh Sanganeria - Analyst

  • Thank you very much. So based on your commentary, it looks like in the second half revenues I'm thinking maybe 50% to 60% memory and close to 30% foundry and (technical difficulty) IDMs. But it seems like if foundry backlog is higher than memory, so does that indicate that you have some foundry orders right now that ships early next year?

    非常感謝。因此,根據您的評論,我認為下半年的收入可能 50% 到 60% 來自內存,接近 30% 來自代工和(技術難度)IDM。但似乎如果代工積壓訂單高於內存,那麼這是否表明您現在有一些代工訂單將於明年年初發貨?

  • Wolfgang Nickl - EVP & CFO

    Wolfgang Nickl - EVP & CFO

  • Let me take a crack at this. If you just look at our backlog it's about 1.8 billion, two-thirds of those ship in the next six months and you see the breakdown on page 13 of the deck that we published. You get a little bit of the idea there.

    讓我嘗試一下。如果你看一下我們的積壓訂單,你會發現大約有 18 億,其中三分之二將在未來六個月內發貨,你可以在我們發布的簡報的第 13 頁上看到細分情況。您對此已經有了一點了解。

  • Memory continues to be strong, 36%, and then (technical difficulty) talked about this in my prepared remarks. We see a strong year there and you see the backlog there is 24% of our backlog. Then foundry is 40% of the backlog and we will take orders that support our outlook.

    記憶力繼續保持強勁,36%,然後(技術難度)我在準備好的發言中談到了這一點。我們看到今年那裡的業績強勁,那裡的積壓訂單占我們積壓訂單的 24%。然後代工佔積壓訂單的40%,我們將接受支持我們前景的訂單。

  • Order intake is something that becomes a little bit more of a mechanical thing. Often it's also lumpy that people do it after they close the VPAs with us, but you can view the details there and it's roughly how the business will turn out as well.

    訂單接收變得越來越機械化。人們在與我們達成自願夥伴關係協議 (VPA) 後這樣做通常也很麻煩,但您可以在那裡查看詳細信息,大致了解業務結果如何。

  • Mahesh Sanganeria - Analyst

    Mahesh Sanganeria - Analyst

  • Okay, then a follow-up. If I look at your revenues, you had an excellent growth in IDM revenues significantly on memory but foundry is down for two years in a row and it's actually down pretty significantly. You have to go back to 2010 to get to these levels.

    好的,接下來是後續內容。如果我看一下你們的收入,你們的IDM收入在記憶體方面取得了顯著成長,但代工收入連續兩年下滑,而且下滑幅度相當大。您必須回到 2010 年才能達到這些水平。

  • I'm trying to reconcile the fact that at 20 nanometers the last couple of years have been a lot of 20 nanometers shipments and your capital intensity at 20 nanometers is going up significantly, yet your performance in foundry seems to be trailing your competitors -- not competitors, your peers in other segments like deposition etch. So if you can elaborate on that what's happening there?

    我試圖調和這樣一個事實:過去幾年,20 奈米的出貨量很大,而且你們在 20 奈米的資本強度也在顯著上升,但你們在代工方面的表現似乎落後於競爭對手——不是競爭對手,而是沉積蝕刻等其他領域的同行。那麼你能詳細說明一下那裡發生了什麼事嗎?

  • Peter Wennink - President & CEO

    Peter Wennink - President & CEO

  • Yes, I think you said it's -- when we look at the last three years -- 2011, 2012, and 2013 -- we are EUR1.9 billion in 2011; EUR2.2 billion, EUR2.3 billion in 2012; EUR2.1 billion in 2013. Now given the fact that our tools are EUR50 million each, the difference of EUR100 million is two tools.

    是的,我想您說過-當我們回顧過去三年-2011 年、2012 年和 2013 年-2011 年我們的收入為 19 億歐元;22億歐元,2012 年為23億歐元;2013 年為 21 億歐元。現在考慮到我們的每台工具價值 5,000 萬歐元,1 億歐元的差額就是兩台工具。

  • We have seen very strong logic demand or foundry demand for the last three years. We see the most aggressive node to node transition from 28 to 20 to 14, 16 and now at 10 nanometer. We are seeing -- for all the reasons that we talked about in this call, we are seeing all (technical difficulty). I think everybody will see this.

    過去三年來,我們看到了非常強勁的邏輯需求或代工需求。我們看到最積極的節點過渡從 28 奈米到 20 奈米、再到 14 奈米、16 奈米,現在是 10 奈米。我們看到——由於我們在這次電話會議中討論的所有原因,我們看到了所有(技術困難)。我想每個人都會看到這一點。

  • The only issue is that we have lead times that are longer, so we see it a bit earlier. We mentioned it a bit earlier and I think that's the main reason. I think all the rest, when you listen to the call, was extensively discussed.

    唯一的問題是我們的交貨時間較長,所以我們會更早看到它。我們之前提到過這一點,我認為這是主要原因。我認為,當您聽到電話會議時,其餘所有問題都已進行了廣泛的討論。

  • Mahesh Sanganeria - Analyst

    Mahesh Sanganeria - Analyst

  • That's very helpful, thank you.

    這非常有幫助,謝謝。

  • Craig DeYoung - VP, IR Worldwide

    Craig DeYoung - VP, IR Worldwide

  • Thank you, everybody, for joining us. Certainly on the behalf of the ASML Board of Management I would like to extend our thanks. And so, operator, if you could formally conclude the call, I'd appreciate it. Thanks.

    謝謝大家加入我們。當然,我謹代表 ASML 董事會表示感謝。因此,接線員,如果您能正式結束通話,我將不勝感激。謝謝。

  • Operator

    Operator

  • (technical difficulty) conclude the ASML second-quarter results conference call. Thank you for your participation. You may now disconnect.

    (技術難題)結束ASML第二季業績電話會議。感謝您的參與。您現在可以斷開連線。