艾司摩爾 (ASML) 2014 Q2 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

  • Ladies and gentlemen, thank you for standing by and welcome to the ASML second-quarter results conference call on July 16, 2014. (Operator Instructions) I would now like to turn the conference over to Mr. Craig DeYoung. Please go ahead, sir.

  • Craig DeYoung - VP, IR Worldwide

  • Thank you, Serla, and good afternoon and good morning, ladies and gentlemen. This is Craig DeYoung, Vice President, Investor Relations at ASML. Joining me today from our headquarters here in Veldhoven, the Netherlands, is ASML's CEO, Peter Wennink, and our CFO, Wolfgang Nickl.

  • The subject of today's call is ASML's second-quarter 2014 results. The call is also being broadcast live over the Internet at www.ASML.com and you can find a replay of the call there for another approximately 90 days.

  • Before we begin I would like to caution listeners that comments made by management during the conference call will include forward-looking statements within the meaning of the federal securities laws. These forward-looking statements involve material risks and uncertainties. For a discussion of risk factors, I encourage you to review the Safe Harbor statement contained in today's press release and presentation found on our website at ASML.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

  • The length of the call will be 60 minutes and now I would like to turn it over to Peter Wennink for a brief introduction.

  • Peter Wennink - President & CEO

  • Thank you, Craig. Good morning, good afternoon, ladies and gentlemen, and thank you for attending our second-quarter 2013 results conference call.

  • Before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and some commentary on our second quarter and provide our views on the coming quarters. Wolfgang will start with a review of our second-quarter financial performance with added comments on our short-term outlook. And I will complete the introduction with some further comments on the general current business environment in which we are working and our future business outlook.

  • Wolfgang, if you will.

  • Wolfgang Nickl - EVP & CFO

  • Thank you, Peter, and welcome, everyone. Our Q2 revenue was in line with our guidance of EUR1.6 billion and was largely driven again by sales to our memory customers, which represented about one half of our systems revenue.

  • As anticipated, we recognized revenue for one EUV system during the quarter. The ASPs of all tools sold increased dramatically from EUR26 million last quarter to EUR40 million this quarter, reflecting strong demand for our most advanced immersion tools combined with leading edge holistic lithography solutions in both the memory and the logic market.

  • Service and field options sales grew by about 10% quarter over quarter to EUR401 million. Gross margin came in above guidance at 45.7%, positively impacted by a favorable customer sector and product mix and a higher contribution of service and fields option products. With R&D in line with our estimates and SG&A slightly better than our estimates, gross margin was the main driver that enabled our quarterly earnings to exceed Street expectations.

  • Regarding our balance sheet, we ended Q2 with approximately EUR2.7 billion in cash, cash equivalents, and short-term investments. During Q2 we bought back 2.6 million shares for a total of EUR164 million. From the beginning of 2013 through the end of Q2 we have purchased 9.5 million shares for a total of EUR611 million, leaving approximately EUR390 million under our current buyback program to be executed in the second half of 2014. On May 13 we paid our shareholders a record dividend of EUR0.61 per ordinary share or a total of EUR268 million.

  • Turning to our order book, system bookings in Q2 were roughly equivalent to bookings in Q1, leaving our order backlog at the end of Q2 at approximately EUR1.8 billion excluding EUV.

  • With that, I would like to discuss our expectations for Q3 and for Q4. Let me start with a view of the market reserves. Both your sedate revenue and last quarter's bookings demonstrates continued strength in memory. We expect memory strength to continue throughout the second half of the year, driven by planar NAND and DRAM.

  • In IDM, we expect strong year-over-year revenue growth. 2014 year-to-date IDM revenue already exceeds the prior year's total revenue and we have solid backlog for the second half of the year. In foundry, we are experiencing upside demand for 28 nanometer capacity but a slower-than-anticipated ramp of the 16 and 14 nanometer nodes. We saw growing foundry sales in Q2 versus Q1 and our June-ending backlog is moderately higher than the quarter before.

  • However, although the industry's view of an eventual 300,000 wafer starts per month in total for 20, 16, and 14 nanometer combined node capacity remains intact, we see widely different behavior of our foundry customers as they are evaluating the timing of the capacity ramp. Some are currently adding leading-edge lithography equipment in support of capacity installations. Some are digesting already delivered equipment while others appear to be reconsidering advanced node ramp plans.

  • In addition, we have some customers with EUV quarters in place, requesting that we upgrade their NXE:3300 to production-specified NXE:3350s before shipment, which will require rescheduling of deliveries into 2015. As a result of an overall weakening of near-term foundry demand for the 16 and 14 nanometer FinFET node ramps and with the upgrade plan and associated reschedule of delivery of three NXE:3300s, we maintain our prior Q3 guidance of about EUR1.4 billion and now see a total year revenue of about EUR5.6 billion including five EUV systems.

  • Our long-term view on semiconductor demand remains unchanged. We expect gross margin for Q3 to be around 42% including two EUV systems. We are seeing a bit of near-term variability in gross margin, not only due to the recognizable between product mix but also the likely impact of between-sector mix as the more highly configured foundry immersion tools, for example, carry a higher value than the more lightly configured memory tools.

  • Over the longer term, however, we remain confident that the gross margin trends will continue upwards. Strength in service and field options revenues will help support this trend.

  • R&D expense for the third quarter will be about EUR260 million. Other income with contributions from participants of the customer co-investment program will be about EUR20 million. For SG&A, we expect approximately EUR80 million for the third quarter.

  • With that, I would like to turn it back to Peter.

  • Peter Wennink - President & CEO

  • Thank you, Wolfgang. I would like to take a brief moment to review the status of the three trends that we also discussed in the last quarter.

  • Wolfgang has highlighted the current landscape and near-term uncertainty and timing of leading-edge capacity additions in the foundry space. Such uncertainties are not uncommon at the beginning of new complex and challenging node transitions, especially since these transitions over the last four years have been the most aggressive in history. These uncertainties might be exaggerated by the current competitive environment and by a consolidating foundry customer base in advanced notes which brings a specific and relatively new dynamic to this industry segment.

  • We've witnessed the move to the 20 nanometer planar nodes from the 28 to 32 nanometer nodes and are now witnessing the broad-based introduction of the 3-D gate architecture at foundries, specifically used for the 14 and 16 nanometer FinFET devices. As mentioned, we are currently in the phase where the timing and rates of the production ramps for these most advanced nodes is being assessed. And by the way, both of these transitions require about a 60% increase in lithography tool investments.

  • Secondly, we have seen the introduction and initial ramp of vertical NAND, which requires about 10% more lithography investment per wafer start. With vertical NAND, bit density is achieved through vertical transistor stacking as a successor to the current planar floating gate architecture. As is the case and logic, these technology transitions are complex and it is therefore not surprising that a pause of vertical NAND capacity buildup is occurring until the time that these technologies can be developed to a point where they are cost-competitive with current and future planer nodes.

  • In fact, given near-term vertical NAND manufacturing and cost challenges, we see that our customers are considering an expansion of their planar NAND roadmaps, actively pursuing possibilities of further shrinks. In the meantime, we remain confident that bit demand growth in 2014 of about 40% in the NAND will be met by continued node shrinks and wafer capacity additions.

  • For DRAM, DRAM bit supply is expected to meet demand in the mid-20% range, driven by technology node transitions only where lithography process intensity rises again about 10% with each node's transition and with a move to mobile DRAM.

  • Lastly, I want to repeat that we strongly believe that the scaling and related near-term technology challenges in logic and memory explained in the previous trend discussion create an increasing need for EUV in order to continue the cost-effective scaling that has driven this industry for the last 50 years. Our lithography cost modeling continues to show that EUV can drive down critical layer imaging cost to reduce spend on patterning-related deposition in etch and its associated costs for [clean room] space while reducing cycle time and very likely improving yields that come along with process simplicity.

  • Today our NXE:3300 EUV systems are supplying customers with a 200 wafer per day processing capability which is being used in the current process development phase for 7 and 10 nanometer logic. Given recent source progress, we feel very confident that we will be able to meet a 500 average wafer per day requirement by the end of this year as our customers move towards production qualification. This, in turn, forms the basis for our belief that we will be able to support our customers with an average of 1,500 wafers per day for high volume production in 2016.

  • Naturally, we remain fully engaged in EUV insertion planning discussions with our customers and given the progress of the EUV exposure systems, our customers' interactions show that they are increasingly turning their focus also to the state of maturity of the EUV infrastructure. Discussions with photoresist manufacturers, mask blank producers, mask defect inspection suppliers indicate that near-term capability or solutions do exist, but that further development in these areas is needed for future industrialization.

  • We believe that the long-term need for EUV remains unabated, but we also keep focusing our overall product strategy to the broadest industry needs covering the most competitive dry and immersion solutions. We continue to improve immersion systems performance to meet the needs of today's most complex single and multipass patterning. That means, firstly, that TWINSCAN NXT:1970Ci continues to ramp with 22 systems in our backlog, underscoring a high level of customer acceptance and rapid adoption of all applications.

  • Secondly, TWINSCAN productivity continues to set new records with our NXT:1950 immersion system, having processed more than 5,200 wafers in a single day, while another NXT:1960 has imaged more than 1.5 million wafers in a one-year period. We have also started to ship our next-generation integrated metrology system, the YieldStar 250D, with holistic lithography feedback loops, having demonstrated significant improvement in inter-field critical dimension uniformity, focus uniformity, and on-product overlay in support of 40 nanometer logic and 20 nanometer DRAM.

  • With this summary, we will be happy to take your questions, so operator?

  • Craig DeYoung - VP, IR Worldwide

  • Thanks, Peter. Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session. But beforehand I would like to ask that you kindly limit yourself to one question and one short follow-up if necessary and this will allow us to get as many callers in as possible, so we would appreciate that.

  • Now, operator, could we have your instructions and then the first question, please?

  • Operator

  • (Operator Instructions) John Pitzer, Credit Suisse.

  • John Pitzer - Analyst

  • Peter, Wolfgang, thanks for all the detail. Peter, I guess given some of the reschedulings you are seeing on EUV this year out to 2015 as you upgrade to the 3350, I'm kind of curious and I appreciate the fact there is a lot of moving parts to this. What kind of baseline units should we -- are you thinking about for 2015 EUV and kind of what are the assumptions that are going into that?

  • Peter Wennink - President & CEO

  • That is a good question. Let me first remind you that the next two years are depending on EUV insertion for 10 nanometer and core usage in DRAM.

  • What we have looked at, and this is how we have built our internal capacity, is that we have put into our models that next year we could see 12 to 15 tools if two customers insert EUV in production in logic and one DRAM player makes that same move. So that would give us 12 to 15 units. This is still the plan of record, which is this is how we are steering our supply chain and how we have built our capacity.

  • Now, if you have a question and say what if that does not happen and if customers would basically decide to postpone insertion in both logic and in DRAM for one year later, for instance, now what would that be? We, and our customers, actually believe that we will see EUV shipments next year because of learning.

  • EUV will be absolutely necessary at 7 nanometer and if you would not do any learning in the 10 nanometer timeframe then it would be a steep hill to climb, a very steep hill. So we estimate, and also based on discussions with customers, that if the customers would not choose to insert EUV in production in logic and in DRAM but only for learning, we would look at about half the volume that I just mentioned, the 12 to 15. So it's half of that number.

  • But that also means that for 7 nanometers it is absolutely necessary, everything that we see and we hear today. And that means that we continue to plan 40 to 60 units per year once we have full insertion on 7 nanometer and DRAM. But not only on 7 nanometer but also we have to think about microprocessors at that time, so 40 to 60 units will then still say. But the question is are they going for production insertion on 10 and on DRAM, or are they going for shipments to actually make sure that they can put EUV into learning in production?

  • Is that a clear answer, John?

  • John Pitzer - Analyst

  • Yes, Peter, that's very helpful. I guess as my follow-up, Peter, it clearly didn't show up in either the June quarter ASP trends or gross margin trends, but one of the things coming out of SEMICON West last week was maybe the fact that Nikon might have some eval tools shipping on immersion in the back half of the year.

  • I am just wondering if you could spend a couple of seconds just kind of elaborating on what you think the competitive landscape on immersion looks like over the next kind of 12 to 18 months, and if what you are doing on a holistic lithography is a big enough mode that, even if Nikon becomes more active, you still feel pretty comfortable about your position.

  • Peter Wennink - President & CEO

  • To start with the latter, we feel very comfortable about our position, basically driven by the fact that, as you mentioned, we have the latest NXT:1970Ci is a tool that actually just shows record productivity. And in combination with holistic lithography it is very clear that is a proposal that we can offer our customers in logic and in advanced memory production that nobody can match. So we feel very comfortable about the next 12 to 18 months.

  • You mentioned SEMICON last week. You know, SEMICON last week is clearly a forum where people present themselves and they have to -- they do product introductions and there are strong marketing type statements. That's also how you need to actually look at it. I would look at the order flow and I would look at who buys what, and you will see going forward that the choice for leading-edge immersion will definitely be falling on ASML.

  • John Pitzer - Analyst

  • Perfect, thanks, Peter. Thank you.

  • Operator

  • Francois Meunier, Morgan Stanley.

  • Francois Meunier - Analyst

  • Thanks a lot for taking my question. I have got a question about logic, which is quite weak at the moment or is going to be quite weak in the next two quarters. Shall we see the weakness as a push into 2015 and then there is going to be quite a strong snapback in logic? Or is it something that is going to be delayed further, so it's not incremental for the 2015 forecast?

  • Peter Wennink - President & CEO

  • Yes, for 2015 -- I think it's too early to give you detailed guidance on 2015. It is clear, like we said in the introductory statements, that this capacity buildup of 14 and 16 nanometer FinFET is slower than we anticipated because it's more complex and also the foundry space is pretty heterogeneous.

  • It is not that all foundry players are on the same level in terms of their decision-making on what they want to do with their installed capacity or how they want to build out that capacity. Like we said, we have customers that are actually digesting a strong capacity buildup of the last couple of quarters, customers that are building up that particular capacity, and customers that are clearly reevaluating with what speed they should go.

  • Now looking at those reasons it will be very difficult, if it is difficult, to assess and to gauge how the, let's say, technical complexities will be overcome and who will then move first also in the context of, let's say, a customer competitive environment for our foundries, which is also a change. You can understand it's a bit difficult to answer your question that this will snapback at that moment, day, and time.

  • 14 nanometer and 16 nanometer FinFET capacity, we believe, together with 20 nanometer planar will need about 300,000 wafer starts. Now to actually assess when that will happen is a bit difficult, but it will happen over time.

  • Francois Meunier - Analyst

  • That's very clear. Maybe just a quick question then about the laser source. We have heard from Gigaphoton, which is a competitor of Cymer, that they have a laser source for EUV which is now working at 92 watts, or at least that's what they claim. Maybe can you tell us where or how strong your EUV source is performing at the moment? Thank you.

  • Peter Wennink - President & CEO

  • Yes, that's a good comment. Basically that comment on the watts was where we were a couple of years ago. So the focus on watts -- if you don't have watts, you don't have light, you don't have EUV. It's that simple.

  • I would like you, perhaps also the listeners, to turn to slide 21 on the -- in the presentation package. Basically shows that power is only one of the elements that drives good wafers per day. And that is ultimately what customers currently want.

  • Europe, that particular 92 watts we are, of course, very much aware of because we are in close contact with them. That has been shown for a very, very short period of time. You could solve seconds or, let's say, parts of a second, so that is just a capability which is very, very, I would say, rudimentary. And that's where we were a couple of years ago.

  • Now if you want a good wafers per day, look at slide 21. Good wafers per day are driven by source power, by source availability, and by the scanner. And it all needs to work together. Now, the source power -- and you're talking about the source power -- has three main components that drive good wafers per day, which is the laser power and we have talked about that; the conversion efficiency, how much EUV life can you get out of that laser and out of the EUV plasma; and the dose margin.

  • Now those are three elements and that only -- that will determine -- three major elements that will determine the source power. They have source -- the source availability is driven by, which currently is the most important part, that is driven by automation, collector protection, droplet generator reliability, the drive laser reliability. And then it needs to work together with the scanner where you can have the optical transmission improve, optimize the overhead, which is the waiting time, resist sensitivity. And it all together brings you good wafers per day.

  • So when you talk about a power, a laser source that is much, much more that ultimately did and determines what customers want. And that is why you have to look at that particular comment from Gigaphoton in that context.

  • Francois Meunier - Analyst

  • Okay, that's very clear. Thank you, Peter.

  • Operator

  • Timothy Arcuri, Cowen and Co.

  • Timothy Arcuri - Analyst

  • Peter, I wanted to ask about the 3-D NAND commentary. Now you are saying that there is very little volume being added during the back half of this year, which makes sense certainly given the lead times and the struggles from the one customer who has tried to ramp it. But it also sounds like that customer has maybe worked through some of the key process issues, yet it sounds like you are sort of downticking on the overall 3-D NAND ramp, even looking out past the second half of this year.

  • So maybe can you go into a little more detail about that? I am trying to fit those two comments. Thanks.

  • Peter Wennink - President & CEO

  • Of course, we're never going to talk about one specific customer. I think 3-D NAND is relevant in the context of the NAND market in total.

  • Now, as you just mentioned, there are issues in terms of the complexity of the new production methodology and the architecture, which is normal when you are at the beginning of a new technology. But you have to look at how many bits do we need next year?

  • Well, if we need next year about mid-40% bits, that needs to be provided by the industry. And that means if it isn't -- if the ramp of 3-D NAND is slower, it has to be taken up by something else, which is 2-D planar. When we listen to customers in general, then several of our customers have actually announced one or even two generations of 2-D planar devices for the next years.

  • So that actually means that if you talk about 45% or, let's say, mid-40% bit growth next year, which is about 100,000 wafers starts capacity that needs to be added, whereby limited part will be through 3-D NAND, a lot needs to go through 2-D. And that actually coincides very well with a statement that many of our customers made that they are planning one or two generations of 2-D planar for the next two years.

  • Timothy Arcuri - Analyst

  • Okay, thanks so much for that. Then, Peter, if I look at your performance relative to your peers, if you strip out service and EUV, it looks like you are going to be about flat year-over-year this year on a wafer fab equipment market that's growing somewhat. It is debatable how much it's growing, but it's probably growing 10%, maybe 15% this year.

  • So it sort of implies that the intensity of litho, of your core ex-EUV, is actually going to decline this year. Is that just timing and should that snap back next year? Certainly, even if you strip out EUV, you would think that that snaps back next year, but I'm wondering why it's down this year. Thanks.

  • Wolfgang Nickl - EVP & CFO

  • It's Wolfgang, Timothy. Little bit of that is timing. If you remember, there were quite significant shipments at the end of 2013 to facilitate the initial capacity build out of 20 nanometer.

  • We've also always said that our revenues are not to be correlated with CapEx spending or other spending. Sometimes it's higher, sometimes it's lower. Peter said already for 2015 we do not have the visibility to guide specific numbers, but there are certainly certain trends there that make us feel confident. Peter talked about the EUV systems, 3350s carry a higher ASP than the 3300s and depending on the volume we ship there, the upgrade of the 3300s to 3350s are (inaudible) either.

  • Peter also mentioned logic. While we can't talk about the exact timing of the rollout of 16 and 14 in particular, we are certain based on a discussion with our customers that we get to the 300,000 wafer starts. And we estimate by the end of this year it's going to be about 120,000-ish that has been delivered so that leaves still quite a bit for 2015 and the early part of 2016 possibly.

  • DRAM and NAND look promising. NAND is a pretty steady machine at 40% or so bit growth and that requires 100,000 wafer start capacity expansion. So we are quite positive overall. DRAM, we are planning in the mid-20s. There is a lot of debate whether that could be a little bit higher as we also shift a little bit from PC DRAM to more mobile DRAM, which is more litho intensive.

  • Overall, there's a couple of good catalysts in there, but sufficient uncertainty that we can't give you an exact number there.

  • Timothy Arcuri - Analyst

  • Great, guys. Thanks so much.

  • Operator

  • Sandeep Deshpande, JPMorgan.

  • Sandeep Deshpande - Analyst

  • Yes, thank you. Can I ask a question on EUV? You have had -- there have been push outs of three EUV tools into next year. How do you see the progress of EUV now? If suppose you are on schedule to ship into production in 2016 for one layer or whatever in the foundries, how do you see the progress of this tool into next year and into 2016 in terms of units? And then I have one follow-up.

  • Peter Wennink - President & CEO

  • Yes, you talk about a push out. I wouldn't call it that in a way. Those are three systems that were for customers that were in the back of the line, I would say, and those customers were not the first ones to introduce EUV in R&D.

  • The first ones that introduced EUV in R&D already received their 3300 last year and the beginning of this year, and those will be the ones that will put the 3350 into production. But there is all the set of customers (technical difficulty). So those customers that actually received the first 3300, those are the ones that are planning for introduction in production next year.

  • But all the customers that also need to do EUV are [in the end]. Those customers are in, you could say, the back of the line of the 3300s and those customers now have an opportunity with the 3350 coming online to say, listen, if we need to do the R&D, let's try to do it on the 3350. And we would much rather do that because the specifications are better.

  • It's different types of customers, so it's not a push out. It is basically a requirement of those customers to get access to the better performance of the 3350, which they say we are happy to live with that to receive the tool a bit later because it gives us better opportunity in R&D. So that's what it is.

  • Sandeep Deshpande - Analyst

  • Thanks, Peter. And a follow-up question from me on the regular tools. There is this uncertainty in the foundry market.

  • Would you say how are you prepared to ship? In the sense that if one of your foundry customers came to you in the fourth quarter and said that we need a large number of tools to be shipped in the next three or four months, can ASML do that? Or essentially this 14, 16 nanometers for production in the middle of next year could not happen because the customer hasn't placed -- given you the indication that they need the tools? Thanks.

  • Peter Wennink - President & CEO

  • I think we are preparing -- like I said earlier, and I think it was the first question that John asked, we are preparing, based on the discussion we have had with customers on the potential insertion of EUV next year of 10 nanometer and DRAM, that -- two of those customers in logic, one customer in DRAM. And it will be a limited number of layers, one or two layers, will give us 12 to 15 units that they need that our simulation tells us.

  • So we are preparing for this, which actually means that if they need it they will have somewhere in the second half of the year to take that position and tell us when they want those tools. So if they want more than 50 units, we are in trouble. We don't think that based on the simulation and our discussions that there will be a lot more than those three customers, one DRAM or two logic, that will require a significant number of tools.

  • So I think we are okay and I think we will be able to ship as the 3350 is part of our regular production program, so we will be able to ship 3350s throughout the year.

  • Wolfgang Nickl - EVP & CFO

  • Sandeep, on immersion, just because we are not giving guidance for 2015 does not mean that we are not having various detailed discussions with our customers about the ramps there. We will be prepared for whatever they want to do and if you -- we've talked in the past about our inventory strategy. We have also incorporated certain buffer tools there so that we are not going to be the bottleneck and the limiter of potential wafer start ramps.

  • Peter Wennink - President & CEO

  • It's a good comment, Wolfgang, because we need to realize that if customers would decide -- some people ask the question is that -- well, what if they decide not to insert in a production and we go into it? We need the tools for learning for 7 so we are going to do kind of a shadow production in [10].

  • What that means for immersion, to Wolfgang's point, we need to make sure that then the immersion demand will be significant. So we need to prepare either/or and that means that we have some flexible arrangements made in the supply chain and also in our own work and our process to make sure that we have sufficient graphic tooling for -- in the case that they need more immersion tools.

  • Sandeep Deshpande - Analyst

  • Thank you, Peter and Wolfgang.

  • Operator

  • Srini Sundararajan, Summit Research.

  • Srini Sundararajan - Analyst

  • Thanks for taking my question. If you look at the EUV infrastructure, what area are you still most concerned about for a 2016 introduction?

  • Peter Wennink - President & CEO

  • I think, when you look at the infrastructure, everything is in principle there because we are processing EUV wafers so we have EUV masks. We do defect inspection. There is photoresist, so it is in principle all there.

  • But when you go into industrialization and you need, for instance, a higher volume of photoresist, just to name one, that also means that quality control on bigger volumes of gallons of -- hundreds of gallons of that photoresist needs to actually happen and that needs to be organized. Same for mask blanks or mask inspection can be done currently without the specific mask inspection tool that is warranted when we go into more EUV layers at N7, but for the meantime we have solutions how we can do that.

  • So it's really the ramp to what we call industrialization where you know that you need between 500 and 1,000 wafers per day second half of 2015 on a regular basis with the mask infrastructure there, the mask blanks there, the defect detection there, the photoresist there, which is currently more in a research state now.

  • So it's not one particular thing. It is the culmination of all these things that need to be tackled one by one. There's not one sticking out that we feel is a major issue.

  • Srini Sundararajan - Analyst

  • Okay, just a follow-up. Actually, given that you do not breakout DRAM and NAND in memory and also given that customers can use previous generation immersion tools for 3-D NAND, how confident are you that they may not be sneaking in 5,000 to maybe 15,000 wafer starts per month 3-D NAND capacity in the second half of the year?

  • Peter Wennink - President & CEO

  • I think, speaking, we don't know. If they want to move one tool or one fab to another fab, then they need it so that we know. But in one particular fab they are going to run DRAM instead of NAND we don't know, or NAND instead of DRAM we don't know. So this is why we don't break it out because we don't know this.

  • I think it's pretty clear when they move from one fab to the other, but in other cases we don't know. So to answer your question, yes, things could happen that (multiple speakers).

  • Srini Sundararajan - Analyst

  • Actually, you didn't answer my question. All I am saying is that for 3-D NAND you cannot really know whether there will be capacity, like a small 5,000 wafer starts per month to 10,000 wafer starts per month. I think that the customer can easily do that in the second half of the year without attracting too much notice.

  • Peter Wennink - President & CEO

  • Sure, sure, they can always do this so it's not a question. You just made a comment, which -- your comment, which is great. Yes, that could happen. [That] small capacity could always be used for either/or.

  • Wolfgang Nickl - EVP & CFO

  • As long as the market consumes the incremental 40% split, we've got to add that 100,000 wafer starts either/or through the year if the industry wants to deliver that increase in storage capacity.

  • Peter Wennink - President & CEO

  • I will tell you one thing, in discussions we have had with the customers in the NAND space several customers have indicated the extension of their planar node roadmap very clearly and they are executing on it.

  • Srini Sundararajan - Analyst

  • And are they going to go to 12 nanometers beyond this?

  • Craig DeYoung - VP, IR Worldwide

  • I'm afraid we're going up to move on to the next questioner, the next caller, please.

  • Srini Sundararajan - Analyst

  • Thanks so much.

  • Craig DeYoung - VP, IR Worldwide

  • Sure, you're welcome. And while I have this opportunity, could I ask everybody, if it's convenient at all, to mute your mics, because are getting a lot of feedback? After you ask a question if you could mute your side, that would be appreciated, thanks. Operator?

  • Operator

  • Gareth Jenkins, UBS.

  • Gareth Jenkins - Analyst

  • Thanks. If we roll forward to the end of next year and as we start to approach the 1,500 wafers per day, can you just give us a sense of what the wafer cost would look like versus a full immersion situation for 10 nanometers, please?

  • Peter Wennink - President & CEO

  • I cannot give you the details. The only thing I can tell you is what our customers tell us. If you deliver 1,500 wafers per day, this is the target.

  • They make the cost calculations and they feel that is the target. It's not our number, it's their number so it should be okay.

  • Gareth Jenkins - Analyst

  • Secondly, Peter, I just wondered if you could give a sense on -- metrology is obviously increasingly important in an EUV environment. Can you just give us a sense around YieldStar, around the latest product release, what the improvements are and you expect from YieldStar going forwards?

  • Peter Wennink - President & CEO

  • Yes, when we -- when customers shrink and the resolution is getting smaller and smaller, and especially in the immersion space, but it will also be true in the EUV era, but you are seeing that from a mechanical point of view. And from a machine control point of view there are limits to how far we can push it.

  • So when you talk about six, seven, eight passes, potentially nine passes on a critical layer on the M10 that means that we are -- there are boundaries to which you can control the machine so you need that software. And actually that software, the 250D, the yields at 250D is particularly important for us because the focus on -- the overlay focus and that uniformity throughout the wafer that is bringing our customers the possibilities to control yield. Because, as you can imagine, if you have to do nine passes for one particular layer that you need to be very, very close to your targets because every pass gives you a small variation and times nine it grows very, very fast.

  • So that's why the importance of metrology and the improved performance of the 250D in terms of uniformity and overlay becomes a very important element and an integral part of the whole lithography solution. So that's not -- it will go up in terms of significance. And with EUV, where the resolution is going down, that is going to be the same. The smaller the resolution, the more metrology we actually need.

  • Gareth Jenkins - Analyst

  • Thanks.

  • Operator

  • Stephane Houri, Natixis.

  • Stephane Houri - Analyst

  • Good afternoon. A question about the gross margin. If you could help us understand the evolution of the gross margin going forward and let us know if the decline expected in Q3 is only due to the increased number of EUV tools you are going to deliver and what will be the gross margin under the three tools shifted to 2015. Thank you.

  • Peter Wennink - President & CEO

  • I will take a crack at that. Our gross margin is obviously impacted by numerous things. Overall, the trend of our gross margin, our business is up.

  • To give you a flavor, if you would add the first two quarters and add the guidance for the third quarter we are somewhere between 43.5% and 44%. The last full year was 41.5% so our margin trend is up and that's aided by the most advanced immersion tools. The product mix is also aided by service and holistic lithography.

  • Margins are negatively impacted for now based on the EUV tools that we are shipping. You are absolutely right; Q3, when we recognized two tools versus one in the prior quarter, that has an impact somewhere around 2% or so. So that's one impact.

  • The other one is the mix of products, but also the mix of type of customers that we ship to because memory tools are differently configured than a logic tool for instance. If you look at last quarter, Q2, it was an exceptional quarter, so the ASP went up from EUR26 million to EUR40 million. We are not forecasting quite that high of an ASP in Q3, so we have a bit of an impact there.

  • Another impact is if your overall revenue goes down quarter over quarter we have some fixed costs in the business so the coverage goes down a little bit and there is a little bit of absorption. So those are some of the impacts.

  • As it relates to the 3300 that will become 3350, I think I mentioned in a prior question we will charge for that upgrade. And that will not make the situation worse, it will make it a little bit better.

  • Stephane Houri - Analyst

  • Okay, thank you very much.

  • Operator

  • C.J. Muse, ISI Group.

  • C.J. Muse - Analyst

  • Good morning. Thank you for taking my question. I guess first question was hoping to dig a little bit deeper on the foundry side.

  • And I guess, forgive my multipart question, but first in terms of the delay. Can you talk about what's driving it in terms of complexity versus demand visibility versus maybe some of the volatility related around the strategic alliance between GF and Samsung?

  • Then, as part of that, can you share what kind of capacity will have been added exiting calendar 2014 as part of the 300,000 wafer starts that you have highlighted as the likely outcome? Then, finally, with this push on 20 and below, what does that mean for backfill for increased demand for 28 nanometer equipment?

  • Peter Wennink - President & CEO

  • Okay, the capacity -- I think Wolfgang added this. With our current shipment pattern we believe that we will be around 120,000 wafer starts on the 20/16/14 nanometer node, so out of the 300. So we are less than halfway.

  • And the reason to want to dig deeper, what is the main reason? Whether it's the complexity, it's more difficult, whether it's the end demand, whether it's the competitive situation and choices that fabless companies need to make, it is very difficult for us to figure that out what it is.

  • We know that definitely it's difficult. We know also that there is a competitive situation that, of course, we are not part of because it's something that's between our customers and their customers. How that is played we don't know.

  • So to answer your question, I cannot give you that color. It could be all of it, it could be one or two of those reasons driving it. We don't know.

  • Whether it's -- the last part of your question was whether the delay in the sub 20 is going to have an impact on 28. I think it's disconnected. You are asking basically whether customers are reverting back to 28 instead of sub-20; I don't think that's the case.

  • We are seeing that the 28 nanometer node is a strong node. We've always said it and so it will be about a 300,000 wafer start node. We are currently focusing -- I think we have installed 270,000 to 280,000 so we still can see some capacity additions in that space.

  • We also see second-tier foundries now adding 28 nanometer capacity in other places than the traditional leaders would be. So I think 28 nanometer is going to be a strong node, but I think it's independent of the sub-20 nanometer delay.

  • C.J. Muse - Analyst

  • Very helpful and if I could just ask a follow-up. In terms of gross margin trajectory into 2015 and trying to not put in an assumption around unit volumes, but I would assume clearly how should we think about I guess the moving parts there in terms of EUV and then I guess the final 10% coming in at a higher margin, holistic lithography increasing, a move to your higher ASP toolset on the immersion side? Can you provide some granularity on how we should think about the trajectory into 2015?

  • Wolfgang Nickl - EVP & CFO

  • I will take a crack at it. Again, like we said, our long-term views on margins are up. If we are somewhere in the 43%-ish range, 44%-ish range this year and it came from 41.5%.

  • We have said before that three- or four-year timeframe once EUV is matured will be in a situation where we could be closer to 50%. And what are the drivers for that?

  • First of all, of course EUV provides no gross margin at all right now. We have hit the 3350, which we are starting to ship next year. We will get somewhere close to 20% or so, and then it will take us, based on our experience curves, like two years or so to get it somewhere in the 40% range. So that's obviously a big contributor to this.

  • Holistic lithography is -- we always said it's like 75% of the software business and then 25% the YieldStar is the hardware business, but the blended margin based more those solutions based on the value they provide is somewhere in the 75% to 85% range. And that part of the business is growing faster than the overall revenue that contributes as well.

  • So where is 15 going to be? If we can't tell the revenue, it's going to be really hard to tell the margin but over the next three or four years I think we will be progressing on that trajectory.

  • C.J. Muse - Analyst

  • Very helpful, thank you.

  • Operator

  • Andrew Gardiner, Barclays.

  • Andrew Gardiner - Analyst

  • Thanks very much. I was just hoping to return to the EUV question. Just in terms of the progress that you've made in the quarter moving from 100 wafers per day the last time we spoke on this call to 200 wafers per day, at the moment can you give a bit more detail around the factors that have driven that?

  • You've highlighted slide 21 in the deck in terms of source power, system availability, and the scanner. But just in terms of what's got you that initial doubling in productivity in the quarter and that in terms of how -- what we should be looking for in terms of the further upgrade packages coming later this year.

  • Peter Wennink - President & CEO

  • I think when you look at the 200 wafers per day that we have achieved in the second quarter. It was the result of, let's say, a planned upgrade and the upgrade was done by the end of Q1, really resulting in what we talk about today, which is the doubling of the productivity.

  • So you could say that the upgrade package that we executed [delivered] (technical difficulty) according to plan, which is always good. It was basically a combination of automation, (technical difficulty) I would say the source availability. There was the stability of the source power, the combination of the two.

  • When you go to the 500 wafers per day, so going to slide 21, you could say it's the left upper hand and the right upper hand of the circle that was basically where the improvements were. That will continue in the second half of the year, but the focus will be on the so-called availability packages, which will be on the right-hand side.

  • So as its source availability, but also the optimization of the overhead because once you have now a system that works together, the source works together with the scanner, you need to optimize the so-called waiting times. There are waiting times when the scanner is not completely ready to receive the EUV light. Those are all software issues and that automation and overhead automation is also part of the availability program.

  • Next to that we have -- for the 3350 we have some better optical (technical difficulty) which will help next year to move it beyond 500, so I would say it's the right-hand side of that circle. It's the automation, it's the drive laser reliability, the overhead optimization that will be the main contributor for the availability packages that we are going to roll out in the second half of the year, bringing us to this 500 wafers per day.

  • Andrew Gardiner - Analyst

  • Thanks very much. Just a very quick sort of mechanical follow-up, Wolfgang, you said you are charging for the upgrades of the laser from the 3300 to 3350. Now that you're not going to have to install that sort of a second laser, the mobile pre-pulse upgrade that you weren't going to be charging for, is there a difference in terms of the margin of these three devices that have been delayed?

  • Peter Wennink - President & CEO

  • No, not on the base 3300.

  • Wolfgang Nickl - EVP & CFO

  • No, because the base 3300 still uses the [mobile pre-build] so you still need the second lasers going. The other upgrades which have to do with the optical (technical difficulty).

  • Andrew Gardiner - Analyst

  • Okay, so the initial will still be 0% gross margin but then another laser on top of that?

  • Wolfgang Nickl - EVP & CFO

  • Plus the upgrade package.

  • Peter Wennink - President & CEO

  • Yes, but the upgrade package to the 3350 will have a margin.

  • Operator

  • Mehdi Hosseini, SIG.

  • Mehdi Hosseini - Analyst

  • Thanks for taking questions. Peter, can you please tell us what you're DRAM customers are telling you regarding the technology roadmap? Specifically 1x, when would they expect to start procuring? And have they resolved the challenges associated with the 1x node DRAM? And I have a follow-up.

  • Peter Wennink - President & CEO

  • I think this is a good question. I think when we talk about 1x node DRAM we are very quickly talking about EUV, but they are making progress. I think the level of progress that we are making and conquering the 1x challenge, you should really ask our DRAM customers.

  • But we have intensified -- we can only say from a litho point of view we have intensified the discussions on the next node. That means that they are seeing ways to overcome challenges and EUV has also become very relevant in that respect. I think that's the only thing I can say.

  • Mehdi Hosseini - Analyst

  • As a follow-up to that, if you were to compare insertion of EUV for 10 nanometer versus EUV 1x DRAM, going back to the commentary about next year, what -- how would you -- what is the probability of one succeeding and not the other one? Do they both have the same probability of succeeding and failure, or is one has a higher probability than the other?

  • Peter Wennink - President & CEO

  • Difficult to say now because they are disconnected, as you pointed out. I wouldn't give one a better chance than the other. I think it is basically driven by our ability to show the 500 wafers per day, the upgrades on the productivity.

  • I think from a, let's say, lithography point of view, a resolution point of view, from an EUV performance point (technical difficulty), there is no doubt that they need it. It is about how certain can we plan the availability of the EUV machine to start production? And from that point of view you could say, when you look at the cost focus that DRAM has versus logic, you could say that cost focus would be a bit higher in DRAM because the prices are lower. But it is driven by availability.

  • That is the only comment I can make in this context.

  • Mehdi Hosseini - Analyst

  • Got it. And one question for Wolfgang. With 60% of the buyback already completed, what are your thoughts on capital return, especially now that you have been in the job for six to nine months?

  • Wolfgang Nickl - EVP & CFO

  • Well, (technical difficulty) capital allocation policy that has been established by Peter and the team is just fine. We will continue to regularly assess our minimum cash requirements. We have the ambition to provide an annual dividend that is stable at the minimum, but we attempt to grow it. Last four years we grow it by 15% every single year.

  • And then the balance between the cash that we have in the bank and the minimum cash balance will depend on investments in our own shares. Over 2013/2014 that's likely going to be $1 billion and then watch out towards the end of the year we will consider what we have to do in 2015 or 2015/2016 combined. We will discuss that with our supervisory board as we come closer to the end of the year.

  • But, no, clearly no change. What the team has done is totally in line with my philosophy as well.

  • Mehdi Hosseini - Analyst

  • Can you remind me what is the minimum cash you would need for working capital and just the minimum cash in the bank?

  • Wolfgang Nickl - EVP & CFO

  • Yes, right now on the gross basis (technical difficulty) conservative financial policy and that's all right. On a gross basis right now our planning assumption is about EUR2 billion. So we are (technical difficulty) room to execute on the remainder of the program.

  • Mehdi Hosseini - Analyst

  • Got it, thank you.

  • Craig DeYoung - VP, IR Worldwide

  • Ladies and gentlemen, we're going to try to squeeze one more call in. If you didn't get through and would like to talk to Investor Relations, we are available on both sides of the ocean so just send us a note. Then, operator, could we have the last question, please?

  • Operator

  • Mahesh Sanganeria, RBC Capital Markets.

  • Mahesh Sanganeria - Analyst

  • Thank you very much. So based on your commentary, it looks like in the second half revenues I'm thinking maybe 50% to 60% memory and close to 30% foundry and (technical difficulty) IDMs. But it seems like if foundry backlog is higher than memory, so does that indicate that you have some foundry orders right now that ships early next year?

  • Wolfgang Nickl - EVP & CFO

  • Let me take a crack at this. If you just look at our backlog it's about 1.8 billion, two-thirds of those ship in the next six months and you see the breakdown on page 13 of the deck that we published. You get a little bit of the idea there.

  • Memory continues to be strong, 36%, and then (technical difficulty) talked about this in my prepared remarks. We see a strong year there and you see the backlog there is 24% of our backlog. Then foundry is 40% of the backlog and we will take orders that support our outlook.

  • Order intake is something that becomes a little bit more of a mechanical thing. Often it's also lumpy that people do it after they close the VPAs with us, but you can view the details there and it's roughly how the business will turn out as well.

  • Mahesh Sanganeria - Analyst

  • Okay, then a follow-up. If I look at your revenues, you had an excellent growth in IDM revenues significantly on memory but foundry is down for two years in a row and it's actually down pretty significantly. You have to go back to 2010 to get to these levels.

  • I'm trying to reconcile the fact that at 20 nanometers the last couple of years have been a lot of 20 nanometers shipments and your capital intensity at 20 nanometers is going up significantly, yet your performance in foundry seems to be trailing your competitors -- not competitors, your peers in other segments like deposition etch. So if you can elaborate on that what's happening there?

  • Peter Wennink - President & CEO

  • Yes, I think you said it's -- when we look at the last three years -- 2011, 2012, and 2013 -- we are EUR1.9 billion in 2011; EUR2.2 billion, EUR2.3 billion in 2012; EUR2.1 billion in 2013. Now given the fact that our tools are EUR50 million each, the difference of EUR100 million is two tools.

  • We have seen very strong logic demand or foundry demand for the last three years. We see the most aggressive node to node transition from 28 to 20 to 14, 16 and now at 10 nanometer. We are seeing -- for all the reasons that we talked about in this call, we are seeing all (technical difficulty). I think everybody will see this.

  • The only issue is that we have lead times that are longer, so we see it a bit earlier. We mentioned it a bit earlier and I think that's the main reason. I think all the rest, when you listen to the call, was extensively discussed.

  • Mahesh Sanganeria - Analyst

  • That's very helpful, thank you.

  • Craig DeYoung - VP, IR Worldwide

  • Thank you, everybody, for joining us. Certainly on the behalf of the ASML Board of Management I would like to extend our thanks. And so, operator, if you could formally conclude the call, I'd appreciate it. Thanks.

  • Operator

  • (technical difficulty) conclude the ASML second-quarter results conference call. Thank you for your participation. You may now disconnect.