艾司摩爾 (ASML) 2014 Q1 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

  • Ladies and gentlemen, thank you for standing by.

  • Welcome to the ASML first-quarter results conference call on April 16, 2014.

  • (Operator Instructions)

  • I would now like to turn the conference over to Mr. Craig DeYoung.

  • Please go ahead, sir.

  • Craig DeYoung - VP, IR Worldwide

  • Thank you, Kiersten, and good afternoon and good morning, ladies and gentlemen.

  • This is Craig DeYoung, Vice President of Investor Relations at ASML.

  • Joining today from our headquarters in Veldhoven, The Netherlands, is our CEO, Peter Wennink, and our CFO, Wolfgang Nickl.

  • The subject of today's call is ASML's first-quarter 2014 results.

  • This call is also being broadcast live over the Internet at www.ASML.com and replay of the call will be available on our website for approximately 90 days.

  • Before we begin, I would like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities laws.

  • These forward-looking statements involve material risks and uncertainties.

  • For a discussion of risk factors, I encourage you to review the Safe Harbor statement contained in today's press release and presentation found on our website and in our annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

  • Just as a reminder, the length of the call is 60 minutes, as usual.

  • Now I would like to turn the call over to Peter Wennink for a brief introduction.

  • Peter Wennink - President & CEO

  • Thank you, Craig.

  • Good afternoon, good morning, ladies and gentlemen.

  • Thank you for attending our first-quarter results conference call.

  • Before we begin the Q&A session, Wolfgang and I would like to provide an overview and some commentary on our first-quarter results and provide our view of the coming quarters.

  • Wolfgang will start with a review of our first-quarter financial performance and will add some comments also on the short term outlook.

  • I will complete the introduction with some further comments on the current general business environment in which we are working and our future business outlook.

  • Wolfgang, if you will?

  • Wolfgang Nickl - EVP & CFO

  • Thank you, Peter, and welcome, everyone.

  • Q1 revenue was in line with our guidance of EUR1.4 billion.

  • It was largely driven by sales to our memory customers, which represented about two-thirds of our systems revenue.

  • Also, as anticipated, we recognized revenue for one EUV system during the quarter.

  • ASPs of all tools sold remained constant at about EUR26 million in the quarter.

  • Service and field option sales accounted for EUR367 million of revenue.

  • Gross margin came in above guidance at 43.6%, helped by a favorable product mix and the contribution of holistic lithography option products.

  • With R&D and SG&A line with our expectations, gross margin enabled our quarterly earnings to exceed Street expectation.

  • Turning to the balance sheet, we ended Q1 with approximately EUR3 billion in cash, cash equivalents, and short-term investments, roughly flat with the prior quarter.

  • During Q1 we bought back 2.3 million shares for a total of about EUR147 million.

  • This leaves us approximately EUR553 million available for repurchases for the remainder of this calendar year.

  • This represents about 55% of the EUR1 billion 2013/2014 buyback program announced last year.

  • As a reminder, we will propose to increase our dividend by 15% to EUR0.61 per ordinary share at our AGM on April 23 next week.

  • This dividend will be payable on May 13 to shareholders of record as of April 29.

  • Our system order backlog at the end of Q1 remains constant quarter over quarter at just under EUR2 billion, excluding EUVs.

  • The number of NXT1970 immersion tools has increased from 14 at the end of December to 24 exiting March.

  • Our system backlog is almost evenly balanced among memory, IDM, and foundry customers.

  • With that, I would like to turn to our expectations for Q2 and Q3.

  • Let me start with a view of the markets we serve.

  • We are experiencing solid demand in memory with some uncertainty on the ramp of 3-D versus planar NAND, which is changing our product mix slightly.

  • IDM is experiencing strong year-over-year growth, as expected, and in foundry we see strong demand for the 28 nanometer node, but expect some adjustments to shipments for the continued ramp to volume production for both 20 nanometer planar transistor and the 16/14 nanometer thin set node.

  • These adjustments are impacting our revenue forecast for Q2 and Q3.

  • Our long-term view on semiconductor demand remains unchanged.

  • Consequently, we expect sales of around EUR3 billion for the next two quarters including EUV.

  • For Q2, we expect total sales to be about EUR1.6 billion with a gross margin around 44% to 45%.

  • For Q3, we expect sales of about EUR1.4 billion.

  • We expect to recognize one EUV system in Q2 and two EUV systems in Q3.

  • We do not have sufficient visibility and certainty to guide for the full year revenue at this point in time.

  • As a reminder, as with all new technology introductions, revenue recognition of EUV systems is currently taking place after installation and customer acceptance.

  • This revenue recognition method will continue until predictable installation and customer acceptance timing is established.

  • On tool acceptance and installation, about EUR60 million per system will be recognized with about EUR10 million per system being deferred until each system receives light source upgrades.

  • The previously referred to quarters service and field options revenue of EUR367 million is at a level that we expect will be roughly consistent on average throughout the remainder of 2014.

  • R&D expenses for the second quarter will be about EUR270 million.

  • Other income with contributions from participants of the customer co-investment program will be about EUR20 million.

  • SG&A is expected at about EUR85 million in Q2.

  • With that I would like to turn the call back over to Peter.

  • Peter Wennink - President & CEO

  • Thank you, Wolfgang.

  • Wolfgang pointed out that we are seeing a shift of shipment focus in the coming quarters.

  • Such shifts are natural and common in our industry and are the results of the specific dynamics in these industry segments.

  • So let's take a brief moment and zoom out to reflect on what we believe are currently the three most significant trends.

  • First of all, we've seen the introduction of the 3-D gate architecture in logic, specifically used for the 14 and 16 nanometer thin set devices.

  • As related to logic specifically, it is important to realize that we are seeing the development of four process nodes in four to five years.

  • Started with 28 nanometer, went to 20, 16 to 14, and 10 nanometer nodes, thereby clearly accelerating the shrink roadmap.

  • These aggressive shrinks are driven by the mobile device makers that have become early technology adopters in their search for performance improvements for smartphones and tablets.

  • However, many of those architecture transitions have just left the stage of development and are being moved into the stage of early, often risk-based, production.

  • Device architecture limitations, complexity, and learning curves are challenges that customers need to overcome and it will be a matter of time before they are fully resolved.

  • We are currently in a phase where reassessment of the timing of the production ramps where these most advanced nodes needs to be made against the background of this fastest initial ramp for leading-edge logic production that we have ever seen.

  • In that context it is logical that we are seeing some adjustments of the rollout of the 20, 16, and 14 nanometer capacity ramps.

  • Secondly, we have witnessed the introduction of the 3-D NAND architecture, which are focused on increasing bit density through vertical transistor stacking as a successor to the current 2-D floating gate architecture.

  • As is the case in logic, these technology transitions are complex and it is, therefore, not surprising that the exact timing of these transitions in terms of capacity buildup cannot be accurately predicted.

  • Since the equipment tool set needed for these technologies is somewhat different, we are facing some uncertainties as to the composition of what is needed.

  • However, given that NAND memory demand expectations are still about 40% bit growth to support SSD and smartphone demand, we remain confident that this bit demand will be met by continued node shrinks as well as capacity additions.

  • DRAM bit demand is expected to be in the mid-20 range, driven by demand in smartphone and service which apply coming only from technology node transitions.

  • In fact, litho process intensity rises with each node transition and with the move to mobile DRAM as now for the first time a majority of year-end is destined for mobile devices and not for PCs.

  • As the last, but certainly not the least, important point, we want to make clear that we strongly believe that the scaling and related technology challenges as explained in the previous two trends created increasing needs for the next-generation lithography solution in order to continue with our cost-effective scale and that solution is EUV.

  • Although the initial introduction of EUV has been challenging and will require significant development and infrastructure cost, we believe that the advantages of EUV will provide a level of process simplification that is so attractive to our customers that they are focusing on qualifying EUV for their next-generation devices.

  • As an example for their current 10 nanometer process development purposes, they are asking us to deliver currently 100 wafers per day initially and, as they move towards product qualification, the wafer per day requirement moves towards 500 wafers per day on average.

  • Today we are supplying the wafer per day and the availability required for the current process development phase.

  • It is our belief that with our current continued good progress on EUV we will reach a stage of industrialization whereby our customers can confidently assign their critical layers for their most advanced future nodes to EUV.

  • And we have full confidence that we will reach that stage before our customers put these nodes into volume production.

  • We, therefore, remain fully engaged in EUV insertion planning discussions with our customers and are planning system starts for volume production at our customers within this year.

  • Going forward, with the benefits of EUV clearly understood, insertion decisions across all sectors will be based on the economics of EUV, which will be driven by productivity, stability, cost of ownership improvements, in turn driving layer by layer insertion when these improvements become available.

  • So with respect of the key areas of execution of our product strategy, we can report the following.

  • Targeted improvements in all key performance metrics of our immersion platform have been met.

  • They are enabling today's most complex single and multipass patterning with two of our key immersion products.

  • First of all, it's the TWINSCAN NXT:1970C, which continues to ramp with 24 systems in our backlog with an average selling price of well over EUR50 million, which underscores the high level of customer acceptance and a rapid adoption over all applications.

  • Secondly, for optimum cost of ownership across our emerging product line, we have brought our NXT:1960B, now called the NXT:1965C, on to this current NXTC common platform.

  • With throughput improvements and upgradability through the NXT:1970 or its successor, we maximize its value of ownership and we help manage the capital efficiency in our customer spend.

  • Furthermore, adoption of our YieldStar metrology systems and our Holistic Lithography suite of products has grown significantly within the logic customer base and is now also expanding to memory manufacturers as well.

  • Coming back to EUV, we shift our fourth NXE:3300B to the fourth customer in Q1 and, as mentioned, our focus at the customer interface is on wafers per day, which is a function of throughput and availability.

  • The target of 100 wafers per day is met at the first customer and is targeted soon at customer number two and two additional systems, number three and four, are now under installation and will start process development also soon.

  • With this we believe that this execution of our product strategy enables us to support any short and long-term lithography need of the industry.

  • And with that -- this, I will be happy to take your questions.

  • Craig DeYoung - VP, IR Worldwide

  • Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session but beforehand I would like to ask that you kindly limit yourself to one question with one short follow-up if necessary.

  • This will allow us to get as many callers on as possible.

  • Operator, could you please give us the instructions and then the first question, please?

  • Operator

  • (Operator Instructions) Francois Meunier, Morgan Stanley.

  • Francois Meunier - Analyst

  • Yes, thanks for taking my question.

  • Peter, I would like to jump on your comments you made earlier about the next-generation shrink roadmap you are seeing at the moment.

  • I would like to reconcile this with maybe the slowing down in the computing cycle at the moment, because like the high end of the smartphone is selling down, in terms of growth same for PCs.

  • We've seen that for a while actually.

  • So is it more like maybe like one of the guys is trying to move to 20 nanometers and then some of the other guys we see that they are (inaudible) with 20, 20 move to 16 and 14 thin set.

  • And basically it's a bit like less investment at the end of the day, because one of them is winner and the others don't spend.

  • And then maybe we see what the winners are going to be.

  • So maybe overall in terms of super cycle or cycle for spending for logic it's not as good as maybe people would have been anticipating 12 months or 24 months ago.

  • Peter Wennink - President & CEO

  • Okay, I will ask a couple of questions in one question.

  • So let me try to answer this briefly.

  • I think if the core of your question is that your concerned or asking me the question if we are concerned about the speed of the, let's say, technology roll and the execution of it, whether that is a concern to us, I would say no.

  • When you mentioned the 20, 16, 14 nanometer node, that node we strongly believe still needs about 300,000 wafer starts.

  • The first part of that node and, let me say, this 20 nanometer node is a bit of a strange node.

  • It has two phases.

  • It has the first phase which is really the 20 nanometer node phase and then you have the 16, 14 nanometer thin set.

  • Now on the first part, the 20 nanometer, we have installed about 70,000 wafer starts as of now, which by the way happened in about four quarters.

  • If you compare that to the 20 nanometer node, that took about seven quarters to get to 70,000, so we are actually looking at the fastest ramp up of a new node we have ever seen.

  • On top of that, people are developing 14 and 16 nanometer thin set solutions.

  • They are doing that at the same time.

  • What we are, in fact, seeing is that I don't think there's any delay in the shrink roadmaps at all.

  • It's just a matter that people are trying to do it faster and that is driven by performance improvements in the end devices and by cost.

  • So this is what we are seeing today.

  • And when we talk about timing and adjustment of that capacity or ramp I think is logical if you see those being delayed a bit, a few quarters, simply because our customers are trying to do too much at the same time.

  • I don't think it is specific to one customer.

  • I think it is the need of the mobile industry that is driving the need for more advanced logic solutions and our customers are just trying to cope with this and we are dealing with that particular demand.

  • So nothing has changed.

  • I think it is a few quarters delay, but actually is pretty good.

  • Technology roadmaps are completely intact and I think it actually helps us, because if we would have executed at the same speed and slope of the 20 nanometer ramp for also the second phase, which is 14 to 16, then we might have a very meager 2015 because everything would've been shipped in one year.

  • Which of course is not possible, but I think it is understandable where we are today.

  • Francois Meunier - Analyst

  • Okay.

  • So if revenues from the foundries are kind of trending down and the orders as well, it's really something which is really short-term in your view, basically?

  • Peter Wennink - President & CEO

  • Yes, yes.

  • And from a company point of view, we see very strong demand from DRAM, NAND so we actually look at -- when you look at our guidance for the first -- the actuals of Q1 and the guidance for the next two quarters where it's EUR4.5 billion for the first nine months, last year we did EUR5.2 million.

  • If we then say that we shipped four systems in Q4 for EUV, then you can easily imagine that for ASML 2014 will be a very good year.

  • Francois Meunier - Analyst

  • Okay, very good.

  • Thank you, Peter.

  • Operator

  • John Pitzer, Credit Suisse.

  • John Pitzer - Analyst

  • Good afternoon, guys.

  • Thanks for letting me ask the question.

  • I guess, Peter, can you help us understand a little bit when you think about the revenue makeup in the September quarter, more EUV revenue, probably a mix that's moving slightly away from holistic lithography, can you help me understand how we should think about gross margins in that quarter?

  • Peter Wennink - President & CEO

  • Wolfgang, you want to answer this?

  • Wolfgang Nickl - EVP & CFO

  • I can take this, John.

  • As you know, our EUV systems don't contribute to gross margin at this point and we also now -- our investor day we showed like the last quarters 43.6 where impacted negatively actually by shipping the one system by about 2%.

  • So as you ship more you start off with more pressure on the system.

  • On the other hand side, we have actually Holistic Lithography solutions outgrowing the rest of our revenue categories.

  • And as you know, that is largely software driven, where we are having like 80% gross margins.

  • You have also seen that we have a pretty strong backlog on the 1970C, which jumped up to 24 systems.

  • So it's a bit more uncertainty in the gross margins because the mix changes here can impact the gross margin.

  • That's also, by the way, a reason why we have started to give a range in the gross margin guidance, but we would think that there could be offsets in Q3 to the second system because 1970s and Holistic Lithography is contributing strongly.

  • Peter Wennink - President & CEO

  • And I would like to add to that, which I also said in my introductory statement that Holistic Lithography we used to position that as a particular solution for logic.

  • But as I said earlier, we are also seeing now this adoption of holistic litho solutions not only logic but also in leading edge memory, especially DRAM.

  • But also if you go to, for instance, floating gate NAND, leading-edge needs absolutely the full suite of Holistic Lithography products.

  • So we see a broad adoption of Holistic Lithography now across all sectors which will also help the gross margin.

  • John Pitzer - Analyst

  • That's helpful.

  • Then, Peter, relative to some of the uncertainty around 16, 14 at the foundries, what is your expectation now for time to volume ramp of 16 in FinFET?

  • If it's, let's say, fourth quarter of next year, how many quarters ahead of that do you start to get real certainty?

  • So if you think about -- when do you think the volume production at FinFET starts and in what quarter prior to that would you know for certain people's demand desires?

  • Peter Wennink - President & CEO

  • We have to look at our production and installation cycle time that is driving this because we, of course, do not have detailed insight into what our customers are doing in their development.

  • So if we take about eight to 12 weeks of installation time max before a tool that lands will be put into production, let's say, one quarter, we have six months of production cycle time, so we need about a nine-month warning.

  • If they start ramping up, we need to start building the machine about nine months earlier.

  • John Pitzer - Analyst

  • So, Peter, if the expectation is second half of next year for volume ramp, you should start to see certainty sometime late Q3/Q4 of this year.

  • Is that how I should think about it?

  • Peter Wennink - President & CEO

  • Yes.

  • John Pitzer - Analyst

  • Perfect, thank you.

  • Peter Wennink - President & CEO

  • If your assumption is indeed, let's say, middle of next year or third quarter of next year then you need to adjust that with about nine months earlier.

  • John Pitzer - Analyst

  • Thank you very much.

  • Operator

  • Sandeep Deshpande, JPMorgan.

  • Sandeep Deshpande - Analyst

  • Thanks for the question.

  • Peter, maybe -- you did talk about this in the introduction but maybe you can help us understand; you've talked about this 500 wafers per day on EUV by the end of the year.

  • At what stage do you think that the customers get confident enough to say, well, the tool is now stable enough for us to place orders with ASML for 2016?

  • And I have one follow-up.

  • Peter Wennink - President & CEO

  • I think, when you look at where we are today, we initially provide a capability of about 100 wafers per day with addition availability and addition availability is about over 50%.

  • That's what we are doing today, but as I said earlier, at around 100 wafers per day.

  • This is -- this 100 wafers per day is the result of the first upgrade cycle.

  • To get to 500 wafers per day we need several upgrade cycles which are planned throughout the year.

  • I think that will determine -- I don't think we will need to show over a lengthy period of time 500 wafers per day.

  • It is the result after every upgrade cycle that is going to increase the confidence of our customers.

  • For instance, the upgrade cycle that we did just after SPIE for the tools in the field actually gave us the projected results.

  • So if we can keep executing on what we are doing today, that means that after every upgrade cycle throughout this year the confidence level of customers will go up.

  • And that means that we are currently planning to be at that 500 wafers per day around the end of the year, beginning of next year.

  • But I would think -- that is my personal expectation that we should have that confidence level higher before we reach that point.

  • So somewhere this year I think customers and the ASML need to sit around the table to talk about the follow-up of the industrialization of EUV.

  • Sandeep Deshpande - Analyst

  • Thanks, Peter.

  • Secondly, if I ask a more macro question, if you look at what the market is looking for in terms of semiconductor CapEx this year that they should -- semiconductor CapEx should grow this year overall driven to some extent by memory CapEx.

  • But your first-quarter orders are showing a different trend in terms of memory CapEx as well.

  • So do you think that your own orders will catch up with what market trends expect for 2014 in terms of memory CapEx, or do you think that the market is wrong at this point on memory CapEx?

  • Peter Wennink - President & CEO

  • You want to talk about the CapEx, Wolfgang?

  • Wolfgang Nickl - EVP & CFO

  • Yes, I will talk in general about corporate growth.

  • The overall market CapEx is flat.

  • Peter already talked in one answer, to the first question I believe.

  • We are having strong year.

  • We are guiding to EUR4.4 billion for the first nine months and then, depending on how the fourth quarter looks like, we have a significantly stronger yield than the EUR5.2 billion.

  • So in general our revenue is up significantly and the industry's CapEx is flat that we would be kind of independent of that.

  • On the backlog question, yes, the backlog is always dependent on what projects are going on and which customers are blazing it.

  • In general, our backlog is pretty much flat quarter over quarter at about EUR2 billion.

  • It's a very good quality of backlog.

  • It was very, very focused on memory as we ended the quarter.

  • You have indeed seen in our revenues it was about two-thirds of the systems revenue was memory and you see that balancing out a bit more now.

  • The backlog that we have now is actually almost equally split between the three major segments.

  • Peter Wennink - President & CEO

  • As you know, we talk about that a lot, that the orders in the order backlog when you look at who do we ship to and what projects do we ship to, those are projects where we are very well positioned, so customers actually indicate to us much more when they need the machine.

  • So our shipment planning with our customers is, in that sense, more important than the orders.

  • Once we know that we are designed into that particular project, that new fab, then we know we will get the orders.

  • And then it just depends.

  • Like Wolfgang pointed out on the timing of that one or two, three customers putting the orders in for that one particular project, it doesn't say anything about their, let's say, desire to receive those tools.

  • You could say that the orders, we said this I think before on earlier calls, is the end of an administrative process.

  • It is not so much an indication of the willingness of a customer to put capital forward to make sure that it can fuel the next generation of device shrinks, because that's what they are doing.

  • When you talk about DRAM there is no new capacity being built.

  • The 25% bit growth is simply technology transitions that will happen.

  • NAND has the same thing.

  • We have a few big NAND projects, one particular one that is taking new machines.

  • They need to support 40% bit growth, which will be about 100,000 wafer starts; that needs to happen.

  • So the order patterns could be disconnected from what we call the shipment planning and the shipment patterns.

  • Sandeep Deshpande - Analyst

  • Understood.

  • Thank you, Peter.

  • Operator

  • Stephane Houri, Natixis.

  • Stephane Houri - Analyst

  • Yes, good afternoon.

  • I have a question about the visibility and the way we should think about Q4.

  • According to you, what are the main moving parts we should have in mind on the upside but also on the downside?

  • Peter Wennink - President & CEO

  • On the upside, I would say -- like I said as an answer to an earlier question, we have not detailed the monthly or weekly insight into development process and the development progress that certain of our customers have.

  • And especially when you talk about leading-edge logic.

  • Now if they have some breakthroughs they will pull things in because they know on an earlier question, I think it was John, saying what's the time that they need.

  • They need about nine months to -- six months of our production time and then about one quarter of installation and ramp up time.

  • So if they have breakthroughs they will come earlier, that is upside.

  • Like I said earlier, we need 300,000 wafer starts for this node, which is the -- it's a two-phase node, the 20 nanometer and then the 14, 16 nanometer thin set.

  • So that 300,000 will happen.

  • It's just a matter of when and that's why I said the delays that we are seeing are for only two quarters.

  • So that is upside.

  • Downside, well, I need to think a bit harder.

  • The logic we have basically scrubbed you could say.

  • DRAM is obvious.

  • DRAM market is healthy.

  • There's no overcapacity there, there's no square meters being added.

  • There is a lot of technology.

  • We have the NAND situation, the 3-D NAND, which by the way could be upside.

  • There is no downside because if 3-D NAND is introduced a bit later then the 40% bit growth needs to be fueled by capacity additions and will be planar.

  • Planar also uses leading-edge immersion tools but they are much more loaded with the Holistic Lithography options so the average selling price would be a lot higher.

  • So I think that's basically how we look at it.

  • I think we've scrubbed in our internal analysis the logic segment for the, as I say, later ramp up of the 14 and 16 nanometer node.

  • And the others I don't see a lot of downside and that's why Wolfgang said 2014 looks like a very solid year.

  • Stephane Houri - Analyst

  • Right, right and just a follow-up on the Holistic Lithography.

  • You've been talking about Holistic Lithography being adopted also by memory manufacturers.

  • When you've been talking about this EUR1 billion sales target, did it include this or is it in upside looking three years ahead?

  • Peter Wennink - President & CEO

  • No, it did include that because when you go to the next-generation memory devices, whether it's the next-generation DRAM devices or even when you go another node, planar node in NAND, that is challenging from a production point of view.

  • And there you need the Holistic Lithography solutions so that is included.

  • Stephane Houri - Analyst

  • All right, thank you very much.

  • Operator

  • Andrew Gardiner, Barclays.

  • Andrew Gardiner - Analyst

  • Good afternoon.

  • Thank you very much.

  • Just another one around EUV.

  • I was just wondering whether there has been a change in tone from your customers around EUV development process over the last quarter or so.

  • Peter, you've highlighted the accelerating shrink and the pressure on the industry and some of the difficulties that some of them are having.

  • But is that causing a change in tone from them where, say, before they may have said, well, we think we can do a bit more with multipass patterning?

  • Now are they acknowledging that EUV is perhaps even more critical than they had previously thought?

  • How would you, therefore, characterize the timing of any decision on that part?

  • What is their -- the current level of flexibility they've got in terms of the timing for insertion at 10 nanometer?

  • With the dual development track it keeps slipping out a little bit or they are getting themselves more flexibility to get there?

  • What is the latest on that front?

  • Thank you.

  • Peter Wennink - President & CEO

  • I think it is the latter what you said.

  • I think they are creating more flexibility for themselves to do EUV introduction.

  • And that will be driven by, let's say, a certain level of wafer per day productivity, which is driven by power and availability of [an order too].

  • That will be the point where -- that will be the main focus.

  • But you are correct; I think if you -- which, by the way, the issue that we are currently seeing with our potential delays I would say in shipment pattern for leading edge logic is driven by the fact that -- I need to remind you all that by the fact that this roadmap capacity ramp was the most aggressive that we have ever seen.

  • So we are seeing just an adjustment of the timing of this ramp, which brings it back to, I would say, more normal patterns.

  • This is the main reason why we have to adjust let's say the initial planning that we have.

  • But on EUV, you are absolutely correct.

  • What we notice and what we hear from all the customers is that the challenges with multipass patterning are indeed very, very high and that one of the main reasons why we want to introduce EUV is not so much because of cost.

  • The cost impact will be limited.

  • We talked in logic, for instance, about a few layers, one or two layers, but it is more about the process simplification.

  • It makes the process more simple and that's the main focus that our customers are currently having.

  • And that, of course, helps.

  • So when we reach those, let's say, 500 wafer per day targets, and we are getting close to it, then I'm pretty convinced that those customers will make those choices because the benefits are clear.

  • Andrew Gardiner - Analyst

  • Understood.

  • And just a very quick follow-up if I may.

  • You have shifted the focus of the EUV metrics from power and wafers per hour to wafers per day over the last quarter or so.

  • The prior targets that you had set, say, 70 wafers per hour during the back half of this year, 125 wafers per hour next year in terms of the peak throughput, are those still sort of contact and analogous to the wafer per day targets that you are now referring to or have things changed?

  • Peter Wennink - President & CEO

  • No, they have not changed.

  • The 70 wafers per day; we need to show the capability of 70 wafers -- I'm sorry, 70 wafers per hour by the end of the year and our capability to do 125 wafers per hour in 2015.

  • That is because customers for the initial start of their risk production don't need that, but they would really like to see that that capability is ultimately there.

  • So that has not changed.

  • Andrew Gardiner - Analyst

  • Thank you very much.

  • Operator

  • Kai Korschelt, Deutsche Bank.

  • Kai Korschelt - Analyst

  • Good afternoon.

  • Thanks for taking my question.

  • I have two.

  • The first one was maybe just simplify the sort of pushouts on 16, 14 nanometers compared maybe to the overly aggressive roadmaps.

  • Could this potentially mean that also the 10 nanometer rollout may be somewhat later or would ramp somewhat later, which would in turn then give you more time to execute on the EUV roadmap, at least compared to maybe what you thought six months ago?

  • That's my first question.

  • Then I have a follow-up, please.

  • Thank you.

  • Peter Wennink - President & CEO

  • I don't think there's going to be any delay.

  • It's not a follow-up.

  • I think what we are currently seeing is that the 16 -- sorry, 10 nanometer is needed in the course of 2016 and 2017, so we are still more than two years we have left for the 14 and 16 nanometer rollout, which I think is a sufficient time and, as a matter of fact, looks like the normal Moore's Law heartbeat.

  • So I don't think that has any impact.

  • But what we are seeing has the intensity with which customers do R&D of 10 nanometers, that is going up and that's why there is so much interest for EUV.

  • I don't think it has a major impact on the timing of the introductions.

  • Kai Korschelt - Analyst

  • Okay, thanks.

  • Then maybe a brief follow-up on NAND.

  • So I think you would previously said 3-D versus 2-D, that debate doesn't really impact the lithography content per wafer.

  • But I think you earlier said you're now getting a bit more comfortable with sort of two different scenarios.

  • I'm just wondering which -- if you could maybe be a bit more specific what you think now if you include the higher software and holistic litho content of one versus the other, what do you think roughly is the litho value in total terms difference between 3-D and 2-D?

  • Thank you.

  • Peter Wennink - President & CEO

  • I think that the litho difference is a bit difficult to quantify for the following reasons.

  • When you say we do at 3-D you could probably go for the NXT:1965, which is, let's say, a sort of lower-priced tool, which is more cost effective but it has a lower throughput.

  • So when you say you go to 2-D you need the 1970C with all the holistic litho options on there, but it has a higher throughput so you need fewer of them.

  • I would think, it's a gut feel, that it doesn't make a huge difference, but it is important because it means that the composition of what we need to deliver is, of course, different.

  • It also means from a production planning point of view, it's a bit different.

  • From an ASP point of view, it is also different.

  • 2-D requires higher ASP tools, but also less because the 1970C is a higher product -- it's a higher productivity tool.

  • It's about 10% to 15% more productive and that gives you an indication of the number of tools.

  • Given the fact that the wafers out requirement is probably the same, that the number of tools on 2-D might be less than on 3-D.

  • Kai Korschelt - Analyst

  • Would you see some sort of ramp up problems or issues with 3-D or qualifications then that would be good for you?

  • Peter Wennink - President & CEO

  • It would be good for average selling prices.

  • You would probably sell fewer tools, so I think from a litho point of view I don't think it makes a huge difference from a sales point of view.

  • But from a margin point of view, clearly.

  • Kai Korschelt - Analyst

  • Okay, thank you very much.

  • Operator

  • Mehdi Hosseini, SIG.

  • Mehdi Hosseini - Analyst

  • Yes, thanks for taking my question.

  • Can you help us understand how the economics like wafer -- ASP per wafer for your customers' customer are changing as we go into 20, 16, and 14?

  • And how those changes in economics are compared to previous nodes, like when we transition from 45 to 35?

  • The reason I am asking is, given the fact that there is more multi-patterning at and below 20, I'm just wondering if those additional cars are also having an adverse impact.

  • And I do have a follow-up question.

  • Peter Wennink - President & CEO

  • I think it's a very good question, Mehdi.

  • I think in general the economics are not favorable for our customers when we go to 20 or 16 and 14.

  • I think the cost per wafer from a lithography point of view will go up.

  • There is no discussion there.

  • And in a sense you could say that is a bit different from the previous nodes where we were still able to follow, let's say, the cost per wafer reduction roadmap that they had.

  • Now you need to realize that I would say the 20, 16, 14 nanometer node is kind of a bridge node from a lithography point of view.

  • We are about two years late with EUV, so clearly there's these wafer -- the cost per wafer economics are being impacted by the fact that we have to do so much past patterning.

  • Not only I think from a CapEx point of view, but probably also from the yield point of view, and that's why the focus of customers is on the introduction of EUV in any case at 10.

  • And that is the game changer again, or you could say that's the change to bring us back on the cost per function or cost per wafer roadmap as we originally planned.

  • Of course, we are trying to help the customers with this economic issue on the cost per wafer by increasing the throughput, the NXT:1970C has a record throughput with the capability to give our customers maximum, let's say, control over their process, the Holistic Lithography product portfolio.

  • So yield management plus productivity is something that we have added to our product portfolio to compensate for what is obvious an increase in the cost per wafer, which can only come down after we have introduced EUV.

  • Mehdi Hosseini - Analyst

  • Got it.

  • And then the follow-up has to do with the EUV.

  • Given your target of 500 wafers per day by 2016, how does that change or can you provide us an update on the total number of EUV that you will be able to ship in 2016 and 2017?

  • Peter Wennink - President & CEO

  • Yes, we have said that based on these targets that we talked about, we still believe that the capacity that we need to put in place in 2015 is about 12 to 15 units, which with the further successful rollout of EUV will have to double in 2016 and double again in 2017.

  • So that statement is still valid.

  • We said that before, that is not going to change.

  • Mehdi Hosseini - Analyst

  • Got it.

  • Thanks so much.

  • Operator

  • Timothy Arcuri, Cowen and Company.

  • Timothy Arcuri - Analyst

  • Thanks.

  • Peter, I had a question on the 3350.

  • You are building some 3350s and I think the idea was that you might be able ship a few of them this year, and now it sounds like you've achieved some of the milestones for at least development work.

  • So can we expect to see follow-on orders and shipments for the 3350 before the end of the year?

  • I would think that we would see follow-on orders based upon what you previously said for some 3350s before year-end.

  • Peter Wennink - President & CEO

  • That's clearly our target, Tim.

  • We do not start the production of these systems if we do not think there is a, I would say, home for those systems.

  • And if there's a home for those systems we need orders, so I think it's a fair assumption.

  • Timothy Arcuri - Analyst

  • Okay, great.

  • Can you talk a little bit about Holistic Litho?

  • The attach rate looks very high.

  • I think you have previously said that you are going to do like EUR500 million to EUR600 million this year in Holistic Litho.

  • Is this still the case and how much of that is actual hardware versus software?

  • I ask that because that's the piece that ultimately gets taken out of the pocket of other companies selling hardware as well.

  • Thanks.

  • Wolfgang Nickl - EVP & CFO

  • This is Wolfgang.

  • Yes, the ramp there is completely intact.

  • We did just under EUR500 million last year and we are going to get over EUR1 billion by 2017.

  • This here is going to be greater than last year and I would think that it's probably 75% software content, 80% software content, and the rest is hardware content, which comes from the YieldStar metrology tool that is included there.

  • And the blended gross margin is very, very healthy at about 80% for that business.

  • Peter Wennink - President & CEO

  • Which is normal for software.

  • Wolfgang Nickl - EVP & CFO

  • Yes, software is higher and then the yields are a little bit lower but blended 80%.

  • Timothy Arcuri - Analyst

  • Blended 80%, okay.

  • Thanks very much.

  • Operator

  • Gareth Jenkins, UBS.

  • Gareth Jenkins - Analyst

  • Thanks very much.

  • It's a follow-up on an earlier question.

  • I just wondered whether you could talk about the number of exposures if you were to do 10 nanometers in the option where you don't use EUV.

  • Would it be -- how many were sort of multiples of exposure that you have to do with immersion?

  • And then I have a follow-up just on EUV, so I will [come back for that one].

  • Peter Wennink - President & CEO

  • I think the number of exposures, it depends a bit on the architectures of our customers, but in general you could say that in a critical layer on 10 nanometers that -- there is normally a 2-D layer.

  • That has to turn into a 3-D layer, which means one, let's say, EUV layer would then be replaced by two or three immersion layers.

  • And with every layer needs multi-passes, so it could vary from 7 to 9 immersion passes for that one layer, which then, of course, if you have a full -- now you can do two things.

  • If you have this multipass 3-D immersion design for a critical layer which would -- like I said, 7 to 9 passes.

  • If you would replace that with EUV, then you could and you would not change your architecture, just use the 3-D architecture, but you then just replace multiple immersion passes with one EUV pass, you can bring it down to two or three EUV passes.

  • That's one solution.

  • You could also say now I'm going to have a different design which actually takes benefit of the full EUV capability, which actually brings the exposure down to one pass, which is the only EUV pass.

  • So you can see how the economics then quickly turn in favor of EUV, but clearly that's why customers are very interested in us showing the capability of 70 and 125 wafers per hour.

  • Once you are at that productivity level, then clearly the economics of EUV kick in.

  • And it also gives them a lot of simplicity back, because as you can imagine, a 3-D multipass immersion layer is highly complex.

  • Gareth Jenkins - Analyst

  • I just want to thank you for that, Peter.

  • Just wondered whether we could talk about the EUV development that you have worked on through the course of this year.

  • Can you give us an update on where we are out the talent pool for example and some of the things that you are working on in the industrialization process that we can measure you by?

  • Peter Wennink - President & CEO

  • I think we have -- what we have done last year is to improve the source development whereby we show the capability of 70 watts, which was actually attached to a 3100 which was a 3100 source, which basically we then copied the architecture onto the 3300 source which also had a different architecture called mobile [pre-pass].

  • So we have mobile pre-pass now on the 3300 which are the tools that are being shipped to the customer, connected to the scanner whereby we have done the first round of upgrades, bringing us the level of power and availability that at least gives our customers the requirements for the current R&D development.

  • So in summary, bringing the new mobile pre-pass architecture live on the 3300 source connected to the 3300 scanner, which is going to be the scanner being used by our customers for the initial risk production on 10 nanometer.

  • That is what we've achieved for the first three to four months of this year and that is actually running now, I would say, well at the customer side where our customers are now asking us to make sure that we can execute as well on the next couple of upgrades as we did on the first one.

  • Operator

  • Sumant Wahi, Redburn.

  • Sumant Wahi - Analyst

  • Gentlemen, good afternoon.

  • Thanks for squeezing me in.

  • My question is actually around the gross margin which you reported for this quarter and probably going forward.

  • Could you possibly kind of give us an idea of how this gross margin gets split out in terms of what has been the effect of Cymer being internalized within this gross margin?

  • And how much is lithography or Holistic Lithography benefiting the gross margin as such right now?

  • Then I have a follow-up.

  • Peter Wennink - President & CEO

  • I will give you a general answer on that.

  • Like we said, the mix greatly impacts the gross margin just by the fact that we -- and we had it earlier on the call.

  • Holistic Lithography is greatly accretive as it increases as a percent of revenue and EUV right now is dilutive.

  • That will change over the next couple of years.

  • I think the Cymer affect you see over the longer run.

  • The Cymer margins right now are included in the first 11 systems, which are very low because we had to put a second source in there.

  • But I don't think that you see any short-term variation that relates to Cymer.

  • The short-term variation really comes from the mix of revenue.

  • Sumant Wahi - Analyst

  • But shouldn't Cymer internalization in the NXT tools have already benefited the Company's margins?

  • Peter Wennink - President & CEO

  • A little bit.

  • It also helps.

  • I might add -- the Cymer service business for instance, the light source business there is a pretty solid business and that that contributes gross margins that are also above the corporate average.

  • But it is yet another revenue category that helps with gross margins.

  • Wolfgang Nickl - EVP & CFO

  • In fact, Cyber is now an integral part of ASML and we are not splitting out our gross margins in our different business units, so we just have to live going forward with one gross margin for the Company.

  • Sumant Wahi - Analyst

  • Okay.

  • And I guess this one has been asked in a way as well, but I'm just slightly confused about the push out of revenue which was guided about to --.

  • I suppose if I did the calculation right, it's about EUR300-odd-million which is being pushed out from Q2, Q3.

  • I am just wondering is there any possibility of you coming back next quarter and saying, well, you know what, they got their 14, 15 nanometer breakthrough and hence we are pulling it back.

  • Could this actually feature in Q4 or should we just be thinking about overall [hurt] on our full-year revenues with this -- expectations with this particular figure?

  • Peter Wennink - President & CEO

  • You know, I think somebody asked that question; could there be upsides?

  • Well, the only upside I could see is that customers have breakthroughs which we are, of course, not very close to.

  • And when they do they just need to take account of the nine-month lease time and they might come in earlier.

  • It might come in a bit later, but that could indeed happen.

  • With respect to -- you said the push out of EUR300 million.

  • I calculate --

  • Wolfgang Nickl - EVP & CFO

  • It was EUR100 million.

  • Peter Wennink - President & CEO

  • It was about EUR100 million from Q2 because we never guided Q3.

  • So it's about EUR100 million, which is about 3% to 4% of what we guided so that's not significant.

  • It's a few machines, but we just tell you what it is.

  • Sumant Wahi - Analyst

  • Sorry, but I guess my confusion comes from the fact that we were assuming a bit of the 14, 16 nanometer revenue coming through in the second half of this year.

  • Whereas the question previously which was asked was if the volume production comes in the second half of next year or maybe I got that wrong.

  • The nine months ahead of that would imply Q4, Q1 orders.

  • (multiple speakers)

  • Wolfgang Nickl - EVP & CFO

  • Under those assumptions, when it starts, the production ramp starts the middle of next year then they need to calculate back and then they need to get shipments in Q4, yes.

  • That is under that assumption.

  • Sumant Wahi - Analyst

  • All right, thank you very much.

  • I understand.

  • Operator

  • Srini Sundararajan, Summit Research.

  • Srini Sundararajan - Analyst

  • Thanks for taking the question.

  • My first question is why is your 2014 NAND guidance significantly better than two or three memory guys who have 35% and 37% versus your 45%?

  • Peter Wennink - President & CEO

  • On NAND?

  • Wolfgang Nickl - EVP & CFO

  • Bit growth.

  • Peter Wennink - President & CEO

  • Bit growth (multiple speakers) [around] 40%.

  • When you talked about customers we don't hear any other numbers.

  • If you're talking about DRAM, DRAM is probably more like 25% to 27%.

  • So what are you talking about?

  • Srini Sundararajan - Analyst

  • Right.

  • On NAND the customers have guided to 35% and 37% whereas you are guiding to 45%, so I was just wondering where the 8-odd-percent came from.

  • Wolfgang Nickl - EVP & CFO

  • Well, we said 40%, which is basically a blended, amalgamated number of the customers that we've talked to.

  • And we have to talk to all our net customers, so it's kind of a blended number.

  • So 37% might be a number that somebody throws out and it might be 41% or 42% that somebody else throws out.

  • We said 40%, not 45%.

  • Srini Sundararajan - Analyst

  • The presentation source shows mid-45%, by the way.

  • Your presentation that was put on the web shows -- that's why I asked the question.

  • Wolfgang Nickl - EVP & CFO

  • It's probably 40%.

  • (multiple speakers) I said it also in the introductory statements that it is 40%.

  • (multiple speakers) Sorry, just to clarify that for the others, if you go to -- on page 16 it does say [mid-40%].

  • Peter Wennink - President & CEO

  • Okay, thanks.

  • Let's make that a clarification.

  • It is about 40%.

  • Srini Sundararajan - Analyst

  • Okay, thank you.

  • On EUV, how many tools in your estimate are required for the 10 nanometer logic and for the sub 20 nanometers across all the customers?

  • What do you think is the size of the EUV revenues from all the quals that are happening?

  • Peter Wennink - President & CEO

  • What we (multiple speakers) is using our capacity that would actually need our capacity of 10 -- 12 to 15 units in 2015.

  • So if you take the 10 nanometer logic introduction, early introduction on the, let's say, 1X high DRAM and there will be customers that say, listen, we need to prepare our production learning for 7 nanometers.

  • Then we will have onesies or twosies that we will sell.

  • We think we will be between 12 and 15 units for next year.

  • Srini Sundararajan - Analyst

  • Thank you very much.

  • Operator

  • Westin Twigg, Pacific Crest Securities.

  • Westin Twigg - Analyst

  • Thanks, just two questions.

  • The first one, just was wondering if you could give us a little bit more idea on the 14 nanometer pushout regarding technology challenges.

  • You said maybe customers could have a breakthrough to get upside, but it sounds like you have high conviction that demand does pick up within the next few quarters.

  • I am just wondering how severe the technology challenges that your seeing are at that 16, 14 nanometer FinFET node.

  • Peter Wennink - President & CEO

  • We don't see that to the level that would make us expert on the subject.

  • Those are the customers.

  • But we see the behavior where of course customers say, hey, this could be a few quarters later, so this is what we are reporting to you that we actually see that as a result.

  • But I think, in general, what we are seeing is an innovating desire and push from our customers to keep shrinking.

  • That means that if you look at what they tell us and we look at certain assumptions on the growth of the end markets, the 300,000 wafer start for this node, which is this two-phase node, 20 nanometer and let's say the FinFET node, is a very realistic number.

  • And whether that happens let's say in 20 months or in 26 months it doesn't really matter.

  • So this is where our confidence comes from, that we believe in the discussion we have with our customers that they're going to solve any issue that they currently have, which I believe is largely driven by the fact that they are speeding up the shrink roadmap to such a point where they are putting so much strain on their own resources.

  • And that it is inevitable that from time to time you will see some of those pushouts, which basically brings this node ramp back to what it should be.

  • So we have seen, and I repeat it again, the beginning of this node was the fastest ramp we've ever seen.

  • It just goes back to a normal heartbeat.

  • Westin Twigg - Analyst

  • I see, that's helpful.

  • The other quick question I had was just on 3-D NAND you discussed that you're engaged with some customers.

  • I'm wondering how many customers are actively working on 3-D NAND with you right now.

  • Peter Wennink - President & CEO

  • All of them.

  • 3-D NAND is just an architectural choice that is happening throughout the whole industry.

  • Westin Twigg - Analyst

  • Okay.

  • And have you shipped tools to all of the customers for 3-D NAND development that you know of?

  • Peter Wennink - President & CEO

  • We don't know what they do in their development fabs, but we do know what they ask us to ship.

  • And that clearly indicates that they are all planning at a certain level, a certain model, day, and time -- and I cannot be specific about the customers of course -- the introduction of a vertical NAND solution.

  • Westin Twigg - Analyst

  • Perfect.

  • Very helpful, thank you.

  • Craig DeYoung - VP, IR Worldwide

  • Actually let me jump in here, if you don't mind, because we only have time for one last question.

  • If you were unable to get through on the call, feel free to give Investor Relations department a call and we will get back to you as quickly as we possibly can.

  • So with that, operator, can we have the last question?

  • Operator

  • Amit Harchandani, Citigroup.

  • Amit Harchandani - Analyst

  • Good afternoon, gentlemen.

  • Amit Harchandani, Citigroup.

  • Thanks for absolutely squeezing me in.

  • Just one quick question.

  • If I look from 2014 towards 2015 and we talk about 2014 being a good year for ASML and clearly the 2015 you are talking about 12 to 15 EUV tools coming through, what would be the different drivers that you could think of for the puts and takes?

  • What kind of growth can we expect going from 2014 to 2015?

  • I understand this is a hypothetical question, but just to know your thoughts of what could be the moving parts where you have seen more confidence than the others, thank you.

  • Peter Wennink - President & CEO

  • I think what is -- it's a good question but of course we're not going to give you any guidance on 2015 if we're not able to even guide on the fourth quarter of this year.

  • But the general comment I would like to make -- we've been talking a lot about what people call pushout.

  • I would call is going back to the regular Moore's Law heartbeat, the regular ramp, because this two-phase 20 nanometer FinFET ramp was the fastest we've ever seen.

  • Now if you see an adjustment of that timing, which is good, because if it would not be an adjustment everything would've been pushed and pulled into 2014, which actually would mean that that node would have been the fastest ramp and the 300,000 wafer starts would have been installed, let's say, first half of 2015.

  • Yes?

  • Done.

  • Now if we now go back to a more normal pattern of ramp, then 2015 would just see the result of the rollout of the FinFET solutions together with the normal rollout of I think the capacity additions that we need to see in and on NAND and the further technology transitions in DRAM.

  • So I think what we are seeing today levels out, as I say, the capacity ramp over the years 2014 and not as the expectation was the emphasis on 2014 on.

  • I think it's a qualitative answer but I cannot help you more than with this.

  • Amit Harchandani - Analyst

  • Appreciate it.

  • Thank you.

  • Craig DeYoung - VP, IR Worldwide

  • Thanks, everybody.

  • To finish the call, I would like to thank you again formally on behalf of the ASML's Board of Management for joining us today.

  • Kiersten, if you could formally conclude the call, we would appreciate it.

  • Thanks.

  • Operator

  • Ladies and gentlemen, this concludes the ASML first-quarter 2014 results conference call.

  • Thanks for participating.

  • You may now disconnect.