艾司摩爾 (ASML) 2014 Q3 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

  • Ladies and gentlemen, thank you for standing by. Welcome to the ASML third-quarter results conference call on October 15, 2014. Throughout today's introduction, all participants will be in a listen-only mode. After ASML's introduction, there will be an opportunity to ask questions. (Operator Instructions) I would now like to turn to conference over to Mr. Craig DeYoung. Please go ahead, sir.

  • Craig DeYoung - VP of IR Worldwide

  • Thank you, Kirsten, and good afternoon and good morning, ladies and gentlemen. This is Craig DeYoung, Vice President of Investor Relations at ASML. Joining me today from our headquarters in here Veldhoven, The Netherlands, is ASML CEO, Peter Wennink; and our CFO, Wolfgang Nickl.

  • The subject of today's call is ASML's third-quarter 2014 results. This call is also being broadcast live over the Internet at asml.com, and a replay of the call will be available on our website for approximately 90 days.

  • Now, before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meanings of the federal securities laws. These forward-looking statements involve material risks and uncertainties.

  • For a discussion of these risk factors, I encourage you to review the Safe Harbor statement contained in today's press release and presentation found on our website, asml.com, and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

  • The length of this call will be 60 minutes. And now I would like to turn the call over to Peter Wennink for a brief introduction.

  • Peter Wennink - President and CEO

  • Thank you, Craig. Good afternoon, good morning, ladies and gentlemen, and thank you for attending our third-quarter 2014 results conference call. Before we begin the Q&A session, Wolfgang and I would like to provide you an overview and some commentary on the third quarter and provide our views on the coming quarters.

  • Wolfgang will start with a review of our third-quarter financial performance, and we'll add some comments on our short-term outlook; then I will complete the introduction with some further comments on the current general business environment and on our future business outlook. So Wolfgang, if you will?

  • Wolfgang Nickl - EVP and CFO

  • Thank you, Peter, and welcome, everyone. Our Q3 revenue was slightly lower than anticipated at EUR1.32 billion due to the shift of a couple of systems into Q4. Q3 sales were largely driven by foundry customers, representing 50% of our system revenues versus the prior quarter, which was driven by system sales to memory customers.

  • As anticipated, we recognized revenue for two EUV systems during the quarter. Our ASP came in at EUR29.5 million versus EUR40.1 million last quarter, which was driven by high KrF and i-line content in our product mix. Service and field option sales grew again by about 10% over last quarter to EUR438 million, which was driven by a number of high-value system performance enhancing options.

  • Gross margin came in solidly at 43.7% compared to our guidance of approximately 42%. Product mix, record options and service sales, as well as cost control enabled this overachievement. R&D expenses were in line with our guidance and SG&A expenses were slightly below our expectations.

  • Turning to the balance sheet, at the end of Q3 we maintained approximately EUR2.7 billion in cash, cash equivalents, and short-term investments. During Q3 we bought back 2.3 million shares for a total of EUR165 million. Since the beginning of our program in 2013, we have now purchased 11.8 million shares through the end of Q3 for a total of EUR776 million, leaving us EUR224 million buyback authority under our current program, ending in December of 2014.

  • Regarding the order book, system bookings were strong at EUR1.4 billion. 75% of bookings came from the memory sector, increasing our overall backlog by approximately 40% to EUR2.4 billion, excluding EUV. Our systems backlog is now skewed in favor of the memory sector.

  • With that, I would like to turn to our expectations for Q4 and share our initial views on the start of 2015. The strong memory bookings during our last quarter demonstrate a continued strength in this area, with DRAM taking a prominent position, as this sector plans for capacity expansions in 2015 to offset capacity consumed by growing process complexity that comes with node shrinks.

  • NAND customers are expected to continue to execute their planar shrink roadmaps and capacity additions to meet expected steady bit growth demand in 2015. There are no clear capacity add plans for 3-D NAND.

  • With Q3 shipment strength, foundry continues the buildup of 20 nanometer, 16 nanometer, and 14 nanometer capacity through the end of this year. The timing and volume of the continued buildup of this node in 2015 appears now to be dependent on key business allocations by our customers' customers.

  • Our estimates show that by the end of this calendar year, about 175,000 wafer starts per month of 20 nanometer, 16 nanometer, and 14 nanometer capacity will have cumulatively shipped. Please remember that it takes approximately six months for this capacity to turn into real wafer output.

  • The final capacity for the 20 nanometer, 16 nanometer, and 14 nanometer node will ultimately depend on end demand and the timing of the introduction of 10 nanometers. In addition to the expansion of 20 nanometer, 16 nanometer, and 14 nanometer capacity in 2015, we expect some additional shipments for the 28 nanometer node, as demand appears to continue to be growing for these processors. We also expect some early pilot system shipments for 10 nanometers in 2015.

  • For Q4 of this year we expect total revenues of around EUR1.3 billion, which will make our full-year revenue at least EUR5.6 billion. During Q4 we expect revenue recognition for one EUV system.

  • Strength in service and field options revenues will continue, and we expect revenues in the low EUR400 million range for the next few quarters. We expect growth margins for Q4 to be around 43%. This would result in full-year gross margin of around 44%, a significant increase versus the prior year.

  • R&D expenses for the fourth quarter will again be about EUR260 million, while our target of EUR250 million per quarter in 2015 remains intact. SG&A is expected at about EUR80 million in Q4. We expect other income of approximately EUR20 million, largely consisting of contributions from our Customer Co-Investment Program. With that, I would like to turn to call back over to Peter.

  • Peter Wennink - President and CEO

  • Thank you, Wolfgang. I would like to take a brief moment to review current sector trends further in relation to what we saw last quarter. Wolfgang has highlighted the current landscape and our near-term view of 2015. In summary, we expect the memory business to do well, especially in the first half of 2015.

  • With respect to our logic business, we have to deal with a level of unclarity around the geographical spread and the timing of leading-edge capacity additions. In our view this is caused by the current competitive environment in this space and by a more diverse customer base foundry customer base at the advanced nodes, which brings a specific and relatively new dynamic to this industry segment. With significant, large pieces of such leading-edge business apparently yet to be awarded to our customers, these uncertainties should come as no surprise.

  • On the other hand, the 28 nanometer node capacity appears to be tighter than expected as demand continues to grow for this node. We still see capacity growing in this area as a broader base of foundry players is making their 28 nanometer process technology available.

  • On top of this trend, the fast pace of development of new processes continues; and at least one customer is accelerating their 10 nanometer process development in an attempt to gain competitive advantage. And this is a positive for ASML as movements to and capacity builds off these advanced nodes are more lithography-intensive.

  • As mentioned, the memory sector appears to remain quite healthy, with bit demand trending at around 25% in DRAM and 40% in command NAND into 2015. Technology node transitions continue to address most, if not all, of the bit supply in DRAM.

  • However, lack of capacity additions in recent years, in light of more complex processes and larger die, have reduced the installed wafer out capacity below a critical limit. And in that light, it makes sense that some capacity additions are warranted and are expected to happen in 2015, which is evidenced by the announcement of new fabs in the DRAM space -- the first of these fabs taking delivery of new capacity tools in the first half of 2015.

  • We see our NAND customers extending their planar NAND shrink roadmaps in light of apparent challenges in bringing the new 3-D NAND architectures to market in high volume. We therefore do not expect any significant wafer capacity expansions in 3-D NAND. However, we do expect continued planar NAND shrinks for an addition of about 100,000 wafer starts per month to grow bit supply to the expected 40% demand.

  • As mentioned last quarter and the quarters before, I want to repeat that we strongly believe that scaling and the related near-term technology challenges (technical difficulty) and memory create an increasing need for EUV in order to continue the cost-effective scaling that has driven this industry for the last 50 years.

  • Our lithography cost modeling continues to show that EUV can drive down imaging cost of critical layers, and that it will very likely also improve yields as a result of greater process simplicity. Additionally, cost reductions are also driven by reducing the number of other process steps, positively affecting its associated cost for cleanroom space while reducing rework and cycle time.

  • And as to our EUV progress, we reported last quarter that we were able to supply customers with a 200 wafer per day EUV capability. Now, with all systems in the field upgraded to the latest source configuration, we are moving that to 500-plus wafers per day capability, which has been demonstrated at two customer sites. And we are executing on our programs to reproduce this at the additional sites over several day runs to meet our targets by the end of 2014.

  • Current demonstrated performance along with significant progress on multiple fronts of our wafer per day program further boost our confidence in meeting our 1,500 wafer per day target for EUV production in 2016. Given this EUV progress, we continue working with one customer towards a mid-node insertion at 10 nanometer logic node in late 2016, which will require shipments of our production's specified in NXE:3350 starting mid-2015.

  • In addition, we are discussing shipment planning of NXE:3350s with other key customers. These will be used for initial learning in a manufacturing environment, which will allow additional time and EUV system availability to support further buildout of the EUV infrastructure, also requiring shipments starting in the second half of 2015.

  • Given these combined scenarios, we expect to ship around six NXE:3350 systems in 2015 on top of three NXE:3300 systems, which, as we discussed last quarter, will be upgraded to an NXE:3350-like configuration. Timing of revenue recognition of these systems will be determined based upon final terms and conditions of the commercial agreements that we are currently discussing with our customers.

  • On top of our significant focus on EUV, our overall product strategy is matched to the broadest industry needs by delivering the most competitive dry and immersion solutions possible to meet the needs of today's most complex single and multipass patterning. First, we have now shipped 42 of the TWINSCAN NXT:1970, which marks our fastest ramp of any new product ever and indicates a high customer recognition of the value offered by these scanners.

  • Additionally, and in support of our continued focus on bringing even more value to our customers, a TWINSCAN system operating at a memory customer imaged more than 1.5 million wafers in a one-year period, becoming the second system to do so. Secondly, with 11 YieldStar 250D systems shipped in the third quarter, we are now supplying our CD and overlay metrology solutions to all key logic and memory customers. Our innovative metrology solution, which substantially shortens metrology cycle times and enhances manufacturing yields through feedback loops, was released this quarter to high-volume manufacturing at a large foundry.

  • So in summary, memory sector remains healthy, and node shrink and limited capacity additions are planned to grow bit output to the required demand levels in 2015. Logic demand continues to grow at the 28 nanometer node, while increased competition at the 20 nanometer, 16 nanometer, 14 nanometer node is creating some uncertainty about the timing and geographical allocation of the capacity ramp for this node as we enter into 2015.

  • EUV performance improvements are moving EUV closer to a production insertion, with manufacturing learning being the logical next step for our most advanced customers. And finally, our continued focus on the broad spectrum of lithography solutions required by the industry for both wet and dry solutions is creating ever more value in imaging and process control solutions.

  • With that, we would be happy to take your questions.

  • Craig DeYoung - VP of IR Worldwide

  • Thanks, Peter. Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session, but beforehand I would like to kindly ask you to limit -- as we always do -- yourself to one question and one short follow-up, if necessary. This will allow us to get as many callers in as possible.

  • Now, Kirsten, could we have your instructions and then the first question, please?

  • Operator

  • (Operator Instructions) Sandeep Deshpande, JPMorgan.

  • Sandeep Deshpande - Analyst

  • My question is on the EUV shipments into 2015. Peter, you have talked about these nine shipments into 2015. Is this including any production orders already? You said that there is one customer which is potentially putting -- you've got some production orders. Or is there any further orders likely in 2015 for EUV production?

  • And how should we be looking at recognition? Will this recognition occur when the customer starts shipping actual production wafers in 2016? Or you could even have recognition in 2015 itself?

  • Peter Wennink - President and CEO

  • Thank you, Sandeep, for asking two questions. With respect to the shipments in 2015, these are the shipments that we talk about. So you asked a question about the orders for production systems that we could receive in 2015.

  • I would say, yes, we are planning to receive orders in 2015 for shipment in 2016. So like we said in the introductory statements, with one customer we are planning a mid-node insertion on the 10 nanometer logic node, and that is an insertion late 2016; but the first shipments to support that would, then, start in the second half or, let's say, mid-2015, which will be NXE:3350s. So, yes, we do expect a follow-on in orders from that moment onward.

  • On the revenue recognition, while we are discussing the terms and conditions with our customers today, you need to realize one thing -- that we think it's very important that our customers do recognize the value of those machines. And those machines average about EUR90 million, or it is around the EUR90 million mark.

  • It is important that our customers, when we sign the POs, actually have that value in mind. Now, also, clearly, we are discussing with the customers terms and conditions. And some of those conditions have, of course, given the EUV history -- certain performance criteria in there, likely.

  • Now, depending on the level of those performance criteria, we might recognize revenue later than we ship the machine. That is currently under negotiation. And depending on the final outcome of those terms and conditions and on the performance criteria that will be part of those terms and conditions, we will recognize that revenue, which could be in 2016 and, depending on some of those terms and conditions, could also be 2015.

  • But it's a bit speculative, as we have not yet finalized those commercial negotiations. That will, I believe, happen for the first customers soon. And soon -- I would say over the next couple of months. So I will have to keep you a bit in the dark on a deal-specific answer on this, as we have not finalized the discussions yet.

  • Sandeep Deshpande - Analyst

  • Okay. Thank you for the response.

  • Operator

  • John Pitzer, Credit Suisse.

  • John Pitzer - Analyst

  • Yes, Peter, good morning. Thanks for letting me ask the question. I guess my first question, Peter, is around the 14 nanometer/16 nanometer uncertainty that you see -- seeing right now. It seems very different than the clarity you and the industry had a year ago as your customers were moving from 28 nanometers to 20 nanometers.

  • And I guess my question is: at what point, if there isn't some clarity, does it become, I guess, more clear that the 14 nanometer/16 nanometer volume production is more a 2016 event than a 2015 event? And is there a risk that reuse from 20 nanometers down to FinFET is contributing to some of the uncertainty that you are seeing right now?

  • Peter Wennink - President and CEO

  • John, to give you an answer, we do think the ramp-up is a 2015 event; it's not the 2016 event. When we look at the discussion that we have with customers in the planned shipments, also for the first half of 2015, that number is actually up from the second half of 2014. So as you would compare first six months 2015/second-half 2014, that number is up in the first half of 2015 for our logic customers.

  • That will not go into 28 nanometer only, and it's too early for a 10 nanometer insertion. That will probably be the second half of 2015. So as far as we are concerned and what we are seeing in customers -- are planning to ramp 16 nanometer and 14 nanometer FinFETs in 2015, not in 2016.

  • John Pitzer - Analyst

  • That's helpful, Peter. And then for my follow-up, just getting back to the EUV, you guys have made some fairly steady progress throughout the year. I'm wondering if you can give us your assessment of the EUV ecosystem outside of ASML and how you feel like that's progressing? What's the weak link? What do you worry about as far as things you can't control? And at some point, do you think about using some of your own money to help accelerate investment in other areas, or do you think your customers can bring other suppliers along?

  • Peter Wennink - President and CEO

  • Yes. That's a long second follow-up, because there's a lot of elements to it. But I'll try to cover everything.

  • With respect to the ecosystem, the EUV ecosystem, as it relates to the 10 nanometer learning manufacturing and the mid-node insertion, I think everything is there. So as for 10 nanometer, it's not the issue.

  • If you would say, now you have to introduce today EUV for 7 nanometer, then there are certain areas where we still need to make progress. And those areas are specifically in the mask area -- in the mask inspection area, mask blanks, and patent masks. And also, we would like to have photoresist that has a higher level of stability that can be used in a mass manufacturing environment.

  • Now, having said that, I think -- in the discussion that we have with our customers, they all believe that those are elements of the ecosystem that we can control and that will be available when we move into 7 nanometer mass production. With respect to your question -- do they need to put money in that ecosystem? Well, it's not for me to comment on what customers should or shouldn't do in that sense, but I'm pretty sure that when they look at the advantage of the EUV, customers and suppliers of the missing links are going to sit together, and they will find solutions, I'm pretty sure. I have enough confidence in the discussions with our customers and with our colleagues in the industry that that will happen.

  • As to our own money, we do put some of our own money into play, but that is when it comes very close to what we ship and what we make. For instance, the development of a pellicle, which is kind of a protection membrane in front of the photomask -- that's something that we have developed. And because -- you could argue it's so much integral to our system, it's inside our system; that's what we did. But we do not intend to be a pellicle manufacturer, so somewhere down the line we will probably hand this over to a company that is more, I would say, adapted to this mass production of these pellicles. But, yes, we are putting some of our R&D money to work to make that happen.

  • Now I hope I covered everything that you asked me in your question.

  • John Pitzer - Analyst

  • You did, Peter. Thank you. Very helpful.

  • Operator

  • Timothy Arcuri, Cowen and Company.

  • Timothy Arcuri - Analyst

  • Peter, you had talked previously about the total volume demand for 20 nanometer, 16 nanometer, and 14 nanometer being about 300,000; and now you are saying that you shipped about 175,000 of that into the market. Granted, it won't become productive volume until the middle of next year, but do you think that that -- A, do you think that that 300,000 has changed? And B, it seems like the 175,000 is a little bit ahead of where you had said last time. I was thinking more like 125,000. So I don't know if something changed, where you got some orders now that you have chewed farther into that 300,000? Can you sort of go into detail on that? Thanks.

  • Peter Wennink - President and CEO

  • Yes. One word of warning is that these node transitions currently are a bit more complex than they were in the past. In the past, everybody went within a very short time period from one node to the other.

  • So it has become more difficult for us to see, when we ship a tool, whether the tool is being used in the ramp-up -- let's say the 20 nanometer node or a 16 nanometer FinFET node; or, because of the 28 nanometer demand, which is higher than we thought, it's still being used for 28 nanometer. That is, as you could say, it's more crossover flow now than we have ever seen before. That's a caveat when we talk about how many wafer starts are in a particular node.

  • When we said 300,000 wafer starts and 175,000, what we are -- I must admit that today, because of the caveat that I just talked about, it is a bit unclear to what level that will indeed grow. Some of our people here look at the available fab space for logic customers, and they say, well, if you look at the available fab space for logic customers, somebody needs to come out, and they need to in any case announce an additional line to get to 300,000 wafer starts, because there's not enough space available.

  • And that can be also explained by the fact that some of our customers that originally planned a fab to be a logic fab turned it into a DRAM fab. So there are always moving elements there that make it a bit difficult to say it's going to be 300,000 wafer starts, because all these elements which, if you cross over from node to node -- which is changes in customer allocations of that particular segment in which they want to produce chips from logic to DRAM -- make it difficult for us to say it's going to be that particular number.

  • Like I also said, fab in our space is also a bit of a question, and you have to put it all together. Now, looking at this from a holistic point of view, we don't have sincere doubts that 300,000 wafer starts can be reached, but if you ask me when, and especially in the context of what we have already shipped -- so how much are we going to ship over the next 12 months? That's very difficult to answer. So no major doubts about the 300,000, but timing will be more difficult because of the issues that I just talked about.

  • Timothy Arcuri - Analyst

  • Got it, Peter. Thanks so much.

  • Operator

  • Srini Sundararajan, Summit Research.

  • Srini Sundararajan - Analyst

  • Could you give me some color on the bookings and backlog with respect to the DRAM/NAND fractions? I know you don't break it out right now, but based on the locations, could you maybe hazard a guess as to what might be the fraction for DRAM and what might be diffraction for NAND?

  • Peter Wennink - President and CEO

  • I think, as we said in the call -- sorry, in the introductory statements of this call -- that the place where we see some new capacity additions needed is for DRAM. NAND forms a pretty predictable path of about 100,000 wafer starts additions last year, which was about what we did this year, which was about what we did in 2013.

  • But where we see some acceleration of capacity is in the DRAM space. So if you want to put a qualitative answer to your question, I think it's a bit more skewed towards DRAM in terms of the bookings than to NAND. But it's not entirely clear, as you say, because customers switch from one to the other; but that's what my answer would be.

  • Srini Sundararajan - Analyst

  • Okay. As a quick follow-up, what kind of milestones do you have for the 10 nanometer implementation? Essentially, what would be the GO/NO GO regarding EUV versus multiple patterning?

  • Peter Wennink - President and CEO

  • That's a simple one. That 500 good wafers per day. That's what customers tell us, is -- I mean, if we can get 500 good wafers per day, we will start. And that means that, clearly, when they move into 10 nanometer in 2016/2017, that 500 wafers per day should be, of course, more. And like we said, we have a target of 1,500.

  • So a customer should have the confidence that we can grow to 1,500 wafers per day. But I would say the hurdle is the 500 good wafers per day -- that's what they will start off with. And then we will have enough confidence, together with our customers, to get to 1,500 wafers per day. And another milestone is, then, if they are confident that 500 wafers per day is sufficient to start, the next milestone is to get the orders in.

  • Srini Sundararajan - Analyst

  • Okay. Thank you very much.

  • Operator

  • C.J. Muse, ISI Group.

  • C.J. Muse - Analyst

  • I guess first question is a follow-up to a prior EUV question. You talked about nine shipments base case in calendar 2015. Curious what your outlook for potential upside to that number -- and where would it be driven by? Is it primarily foundry, or we also see a handful of DRAM in there?

  • Peter Wennink - President and CEO

  • Yes, it's a good question. What we have seen -- again, it's a qualitative answer. What we have seen is those customers that are most advanced in the 10 nanometer development have put more pressure on us to get the EUV working.

  • I deduced from that that 10 nanometer is very difficult to do without the EUV. Now, could there be upside to that number? We gave you a number, so I'm not going to change that number; but the upside would come from the realization of customers that when they move on with their development, that they run into the same physics issues as our leading edge customer has actually run into.

  • I think that could create an upside. Whether that's an upside in terms of orders or shipments early 2016 or late 2015, I don't know. But what we have learned -- and let me say one thing. When we talk about customers, we don't talk about one voice. I mean, what we get back from customers is that the R&D people at the customer site are more and more inclined to look for EUV to solve their complexity issues.

  • The operations people say that it's fine if you'd let them give me a solution that is reliable, that I can use even if it's with low productivity, but that I can design in my production process. So those are the type of discussions that we don't only have with our customers, but our customers also have internally. And that could drive upside. But I'm not willing and will not changing the numbers that we gave you today. But this gives you a qualitative background.

  • C.J. Muse - Analyst

  • True. That's helpful. I think as my follow-up, I get the uncertainty on the 20 nanometer, 16 nanometer, 14 nanometer foundry at -- given 175,000 installed by the end of the year, or at least shipped in your part -- but curious in terms of what you are seeing at 28 nanometer? I believe the industry added roughly 70,000, 80,000 wafer starts in 14 nanometer. Curious what kind of additions you see there, where it appears as though it's primarily UMC, SMIC, WALI as the only guys adding. I would love to get your vision for spend there in 2015.

  • Peter Wennink - President and CEO

  • Yes, you mentioned three names, but those are three names, and we are talking about multiple systems. So you talk about not a low -- the capacity number that we are adding; those are three customers that have the capability to buy multiple systems and put them to work and have the business for it.

  • So it is not marginal. It's a node that definitely grows significantly over 300,000 wafer starts per month, the way that we see it. And of course I cannot go into the detail per customer, what they are buying, and I will not do that; but it's multiple systems per customer.

  • C.J. Muse - Analyst

  • Very helpful. Thank you.

  • Operator

  • Francois Meunier, Morgan Stanley.

  • Francois Meunier - Analyst

  • Thanks for taking my question. The first one is -- you've got one slide showing that your laser is now working at 80 watts, which looks like good news to me. I'm just referring to a slide from your CTO, Martin van den Brink, that with 80 watts you could actually do 1,000 wafers per day; also, the old target of 69 wafers per hour. So is that something we can extrapolate from the 80 watts in your slides?

  • Peter Wennink - President and CEO

  • Well, what you need to remember -- when we talk about 500 good wafers per day, we talk about an average. So there will be days when there is maintenance on the machine; there's overhead time on the machine. So in order to do 500 wafers per day reliably, you need to be over 500 wafers per day capability per day.

  • That's why Martin van den Brink said under the right conditions. And if we execute on our upgrade programs and our availability programs, then there will be days when we are closer to 1,000 wafers per day than to 500 wafers per day. But in order to get to the average, you need to have that capability. So it's all pretty much in line and in sync with what we are saying.

  • Francois Meunier - Analyst

  • Okay. Now maybe more of a macro question on the chip sector. I'm sure you still have those -- access to the lithography machines which are in the field. Have you seen any weakness in terms of capacity utilization at some of the foundries or IDMs, given what we heard from microchip last week?

  • Peter Wennink - President and CEO

  • No, we haven't seen that.

  • Francois Meunier - Analyst

  • Okay. Thank you.

  • Operator

  • Gareth Jenkins, UBS.

  • Gareth Jenkins - Analyst

  • One follow-up on an earlier question, if I could. I just wondered, with the change in, I guess, base case, or the sensitivity scenarios you put out there, Peter, I just wonder whether you could give a sense of whether EUV will still be inserted at 16 nanometer DRAM?

  • And then just secondly -- sorry for the two. But I think I'm right in saying that you said that you expected planar NAND to come through early part of next year. I just wondered -- does that mean that there's more still to come through on the 3-D NAND side next year, and therefore maybe some upside as we're going through the year? Thank you.

  • Peter Wennink - President and CEO

  • Okay. With respect to your last question, this is what -- our expectation and what we see is that we do not expect the 3-D NAND capacity to ramp significantly next year. There is the installed capacity that, of course, needs to be fully utilized. I think that will probably happen next year, but we don't plan that.

  • So if there would be a need for a lot of additional 3-D NAND capacity, that would be an upside for us. That's not what we are currently planning.

  • And with respect to planar, yes, we see the capacity additions that we are currently being asked -- logic going into areas where there is 2-D planar production. That's where it goes.

  • With respect to EUV insertion, a 19 nanometer DRAM -- you didn't ask 19; you asked 16. But 19 nanometer DRAM -- we still think our customers can get around that with, let's say, complex immersion. But at 16 nanometer it's our -- I believe, also based on discussion with customers, that we move into the EUV territory.

  • Gareth Jenkins - Analyst

  • Thank you.

  • Operator

  • Kai Korschelt, Merrill Lynch.

  • Kai Korschelt - Analyst

  • Hi, thanks for taking my question. I had a couple. The first one was, again -- I'm sorry -- about that follow-on on the EUV revenue recognition. So I'm just wondering, could this end up being a sort of pro rata revenue recognition depending on milestones situation?

  • Or could it be a bit more binary outcome, where you either recognize a majority of revenue for the tools in 2015 or 2016? Or could be it be smoothed, I guess, is my first question?

  • And the follow up would be on memory. On DRAM, I'm just wondering -- from your perspective, how much is the current strength driven by mobile versus PC? And the reason I'm asking is if PCs were to soften again, do you think that it may impact demand for DRAM tools next year? Thank you.

  • Peter Wennink - President and CEO

  • Okay. With respect to the rev rec, it's speculative, because we are negotiating those terms and conditions. So it could be all of the above, you could say, everything that you all mentioned.

  • But that is a speculative answer which I don't want to give, really, because it is about what we will be able to negotiate with our customers. And I'd like to repeat what I said. First, it's very important that the customers recognize the value of the machine, and that they are also trying to manage their risks in terms of the introduction, in terms of the tool performance -- that there are conditions, at that, which will have certain performance criteria is logical.

  • Now, how that will, in the end, look and how severe those will be, we'll just have to see. For us the most important part is that we have a PO within around EUR90 million, or we going to be EUR90 million-plus PO value, that will be recognized. Matter of time.

  • And it is about the introduction of EUV into manufacturing, getting up the learning curve. That's the most important one, because EUV is not about 2015 revenue recognition. It is about 2016, 2017, 2018, 2019, and the next decade, which will drive the top line of this Company. So it is all about that, not so much about the rev rec of the first few tools.

  • The NAND and the mobile -- yes, I think we sometimes discuss this with customers, whether it's mobile-driven or PC-driven. But in this particular case we simply don't have enough evidence and not enough intelligence to give you an answer. And I don't want to speculate here, also; so I am afraid I have to pass on this answer.

  • Kai Korschelt - Analyst

  • Okay. Thank you.

  • Operator

  • Andrew Gardiner, Barclays.

  • Andrew Gardiner - Analyst

  • Good afternoon. Thank you. Just sort of a higher-level question looking out into next year, really: for the past couple of years you guys have been willing to provide us with not only a one-quarter-ahead revenue guidance, but also a slightly longer-term -- normally, say, for the next six months. This time around you haven't done that.

  • I can appreciate the uncertainty around the foundry side, given indecision in parts of the food chain; but it does seem, certainly, from an industry perspective and from what you are saying, of course, that spending will need to happen from one of the two parties involved. So if we combine that with backlog at levels not seen since early 2011, it seems to me that you should have at least a good baseline from which to work for the next year.

  • So shouldn't this support year-on-year growth on an underlying basis in the first half of next year, even before we consider EUV? Is this a reasonable starting spot? And are there more details you can provide about what you are classifying as a strong start to next year? Thank you.

  • Wolfgang Nickl - EVP and CFO

  • Let me take a crack at this. This is Wolfgang, Andrew. Indeed, there is not enough clarity, visibility, and certainty to give you a number, but probably can summarize a bit from a qualitative perspective.

  • It is a strong start; that's clear. It's driven by DRAM, but it's also driven by the expansion on capacity on 20 nanometer, 16 nanometer, 14 nanometer. But if you go by the different segments, DRAM and NAND, there's really no significant change. We had a good quarter this year, and our bit growth assumptions are pretty stable -- around 40% NAND and about 25% in DRAM.

  • So the year's overall shouldn't look all that different. On logic foundry non-EUV -- you heard about the three components. I mean, there is still significant buildout on 20 nanometer, 16 nanometer, 14 nanometer.

  • And then what people sometimes underestimate is that we have still shipments on 28 nanometer; and then Peter told you there are several customers, so a significant shipment. Plus, customers are pushing very hard -- or at least one customer -- to get 10 nanometer in as early as possible, with potential shipments, pilot shipments, towards the end of the year as well. So we wouldn't be surprised if that logic foundry is up year over year.

  • Now, EUV we have discussed a lot -- that's the big wildcard. We know what we think we will ship, but we don't know what we will recognize. In terms of IDM, we have also said before that on the current volume node, we had a strong year this year, and we'll have a little bit of a weaker year next year. But then we are ramping into the next node, so that should be flattish.

  • And then what we sometimes forget in these discussions -- field options and services have been improving over the years. So the timing is a wildcard. The where and when is a wildcard. But in general we feel pretty good about the year ahead of us.

  • Andrew Gardiner - Analyst

  • Thanks. I appreciate it.

  • Operator

  • Pierre Ferragu, Bernstein.

  • Pierre Ferragu - Analyst

  • On EUV, so it seems that getting to 500 wafers per day at the end of the year is really the last critical milestone. And if I understand correctly, you need to increase with your maximum throughput per day and make sure that you can sustain that kind of level for several days.

  • Could you take us through what's going to be -- what could be challenging in achieving that? So what are the technical difficulties that you are going to face by having this endurance test during longer?

  • And then maybe take us through how you are going to manage that. Is that something you are doing internally in your own labs, or is that something your key clients are working on at the moment? And how much control do you have on timing for that? And maybe what would be the news flow we should expect from here on that front?

  • Peter Wennink - President and CEO

  • Let me answer the last question first: how do we manage this? We manage this not only internally but in very close cooperation with our customers. EUV is as important to us as it is to our customers, or it is as important to our customers as it is to us.

  • So at every site where customers are getting really serious about EUV, putting into manufacturing environment, we have almost a one-team approach. So we have to do this, because it is complex; it's new. Somebody asked a question about the ecosystem -- we need to understand all those elements, also: how it relates or if it relates to our lithography performance.

  • So this is how we manage it. It's not in isolation. It's very much with our customers.

  • And so news flow will also come out of customers. News flow will be, going forward, news flow about performances at customer sites. There will be a news flow about all of this. That's what you need to look at, and that's what you can expect.

  • Now, in terms of what do we look at in terms of making progress -- what are the areas: I would refer you to slide 21 of our presentation.

  • Slide 21 says: in fact, when you want to improve wafers per day, and how do we get to more than 500 wafers per day -- it has three elements. It's the element of source power; it's the element of the system availability, which is the source system availability; and the scanner. And the latter is more the integration of the source with the scanner.

  • Now, the source power has four elements that are specifically focused on in terms of development programs and the things that we did together with our customers, which is what we call the conversion efficiency -- how much EUV light can you get out of the plasma out of the tin and of the CO2 laser?

  • The drive laser power -- which I just talked about, the CO2 laser power -- is the dose margin. How much EUV dose do you get stable out of the EUV plasma? It's the laser to droplet control. And where we've got the system availability, it's the automation, which is basically metrology and the feedback systems; the lifetime of the collector, which actually we made a lot of progress on; the reliability of the droplet generator, which is, you could say, the tin machine gun; and the drive laser reliability.

  • Those are, again, full programs. And each have a defined and a very specific set of engineers that are looking at this and then integrate this into the system in the field.

  • And then, of course, you have the scanner, which you could say is least of our problems. But there is a lot of integration and overhead elimination in terms of time between the source and the scanner.

  • Now, it's a long list, but it is -- in fact, it's those 12 issues that are shown on slide 21, all of them contributing to more than 500 wafers per day, more than 1,000 wafers per day, and more than 1,500 wafers per day. And this is how you need to look at it.

  • And you could argue it's all part of a chain, and they all need to improve. One has a bigger impact than the other. But it is -- if you want to get to the ultimate 1,500 wafers per day or even 2,000 wafers per day going forward, then those are the 12 elements that we constantly need to monitor, manage, and make real progress on.

  • Pierre Ferragu - Analyst

  • Thank you.

  • Operator

  • Weston Twigg, Pacific Crest Securities.

  • Weston Twigg - Analyst

  • I just wanted to clarify, on R&D -- a year ago I think you indicated that quarterly R&D would drop to around EUR250 million a quarter by the end of this year. It looks like you are guiding it to EUR260 million, but I think you mentioned earlier on the call that you still hope to hit EUR250 million in 2015. So I wanted to clarify that that is the case and then see if you can tell us when you expect to hit that EUR250 million a quarter number.

  • Wolfgang Nickl - EVP and CFO

  • Certainly, EUR250 million is the target for 2015. I've got to tell you, also, that a large chunk of our R&D is flexible. So it depends really on projects and programs that we are also doing with the outside world. So it could be -- one quarter could be a little bit higher; one could be a little bit lower.

  • So that is still the target as it relates to Q4. We kept it a bit higher, because with all the progress that we are having, we didn't want to limit any of the projects that are ongoing right now. But the target is consistent with what we have said before.

  • Weston Twigg - Analyst

  • Okay. Good. And then, just as a follow up on the DRAM side, can you just clarify where you are seeing -- which node, I guess, you are seeing the capacity expansion happen in? And could you tell us if it's -- I guess I'm wondering about the litho intensity, whether it's more space or double patterning based or litho edge patterning?

  • Peter Wennink - President and CEO

  • In DRAM it's -- the complexity needs double patterning solutions. And it's sort of 20 nanometers, so we're moving into the 19 nanometer node for the leading edge. But also, in DRAM you see customers having different roadmaps.

  • You have -- the most aggressive customers are moving into the 19 nanometer DRAM node. There are still customers that are in the low 20s. So this is the area.

  • But you are right: those 19 nanometer devices, they need to hold some double-patterning layers, and that is what drives up the litho intensity. And it also creates a situation whereby the wafer out capacity, of course, goes down, because you have more layers and you have more multipass patterning. So per definition, if you don't build a new fab, you have fewer wafers out in that industry -- which is the reason -- I think one of the main reasons why customers are adding some extra capacity, to keep the wafer out capacity basically stable.

  • Weston Twigg - Analyst

  • Okay. Good. Thank you.

  • Operator

  • Amit Harchandani, Citigroup.

  • Amit Harchandani - Analyst

  • Amit Harchandani from Citigroup. Thanks for taking my question.

  • My first question is really in terms of the improvement and progress that we are seeing, particularly with respect to EUV over the last 6 to 12 months. I understand you are closely working in cooperation with your key R&D suppliers. Going forward, do you see a need to increase your intensity levels of cooperation with any of the particular suppliers? Are there any particular pain areas that you see at this point of time within your supply chain? Not necessarily making a move like Cymer, but are there any areas still where you could potentially increase the level of cooperation to accelerate the progress on EUV? That would be my first question.

  • Peter Wennink - President and CEO

  • Okay. It's almost a rhetorical question. Yes, of course we need to increase the level of cooperation with our suppliers, because as there's always room for an improvement in that area. But generally, I will say the level of cooperation and the depth of cooperation with our key suppliers is such that we don't need to go that much further. It is more about the clarity, sometimes, of roles and responsibilities in terms of the resolution of some technical issues.

  • But that's normal. We don't need to do anything else. I think we are where we are. We need to intensify the efficiency, but not so much more suppliers or a deeper level of cooperation. What we have should be good enough. We just need to move on to industrialization, which means that we need to move out of a development phase with our suppliers into a predictable industrial production environment. And that will probably -- based on our experience gives us some additional challenges, because when you go into a volume industrial production, then you run into new issues.

  • But that doesn't need any deeper cooperation. It just needs better and more efficient cooperation once we move into volume.

  • Amit Harchandani - Analyst

  • Okay. And just as a quick follow-up, given the new scenario you have outlined for EUV for 2015, does that in any way alter your build plan for EUV for 2015? Because I understand earlier you had a scenario even as high as 12 to 15 tools. Based on what you see today with the level of uncertainty you see on the foundry side, does that in any way change your build plans? And how do you go about building these tools over the next six to nine months?

  • Peter Wennink - President and CEO

  • Well, it hasn't really changed in the sense that we said one system per month, but then we just started. We do this six months later. So it changed the build plan in the sense that we asked the modules and the parts to come in six months later. That's what it will mean. So no fundamental change.

  • Amit Harchandani - Analyst

  • Thank you.

  • Operator

  • Adithya Metuku, BofA Merrill Lynch.

  • Adithya Metuku - Analyst

  • My questions have largely been answered. Just a quick question on EUV gross margins. If you could comment on those next year -- how do you see the progression? And a question on -- if you could clarify the tax rates. Your tax rates in the last couple of quarters have been better than what we have been expecting. That would be very useful. Thanks very much.

  • Wolfgang Nickl - EVP and CFO

  • Yes. In terms of EUV gross margin, we are in general terms still on the track that once we are in volume production, somewhere in two years or so after we introduce the 3350 and ship the 3350 we should be somewhere around the 40% mark. And this next year is going to be better. It depends a little bit on the terms and conditions as well. It's going to be much better than what we had in the current year.

  • And we have always said we are shooting for the 20% range. So we will hopefully be somewhere in that range.

  • And the second part of your question was regarding the tax rate. We've always said you should model around 10% for your longer-term model. The last two years were driven by one-time events, and that was up 1% in the year we are currently in. We are expecting somewhere around 6% or so, because some of the Cymer-related effects carry into 2014 as well. So 6% this year, and then I would put 10% in the model from 2015 on.

  • Craig DeYoung - VP of IR Worldwide

  • Let me just break in here and just suggest that we have time for one last call. And anybody who was unable to ask their question or has remaining questions, feel free to contact the Investor Relations group. And we'll do our very best to get back to you as quickly as possible.

  • So now, Kirsten, if we can have the last question, please?

  • Operator

  • Johannes Schaller, Deutsche Bank.

  • Johannes Schaller - Analyst

  • Wolfgang, you mentioned the good growth in field services and options. I was just wondering generally how we should think about that going into next year? There is probably already a pretty good attach rate for a lot of the field options with the new tools you are selling. How should we think about that? And then, also, in the installed base, how much more room is there to grow that? Thank you.

  • Wolfgang Nickl - EVP and CFO

  • We think you should model that in the low 400s. This year will be somewhere around 400, and then it will grow into the low 400s. And it's driven by service; it's driven by options; and it's also driven by bigger upgrades that we are doing in the field right now.

  • Johannes Schaller - Analyst

  • That's helpful. Thank you.

  • Wolfgang Nickl - EVP and CFO

  • You're welcome.

  • Craig DeYoung - VP of IR Worldwide

  • All right, everybody. On behalf of ASML's Board of Management, I'd like to thank you for joining us on the call today. Operator, if you could formally conclude the call, I would appreciate it. Thanks.

  • Operator

  • Ladies and gentlemen, this concludes the ASML third-quarter 2014 results conference call. Thank you for participating. You may now disconnect.