艾司摩爾 (ASML) 2015 Q3 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

  • Ladies and gentlemen, thank you for standing by.

  • Welcome to the ASML third-quarter results conference call October 14, 2015.

  • Throughout today's introduction, all participants will be in a listen-only mode.

  • After ASML's introduction there will be an opportunity to ask questions.

  • (Operator Instructions) I would now like to hand over the conference to Mr. Craig DeYoung.

  • Please go ahead, sir.

  • Craig DeYoung - VP - IR Worldwide

  • Thank you, Aaron.

  • Good afternoon and good morning, ladies and gentlemen.

  • This is Craig DeYoung, vice president of investor relations at ASML.

  • Joining me today from our headquarters here in Veldhoven, the Netherlands is ASML's CEO Peter Wennink and CFO Wolfgang Nickl.

  • To remind you, the subject of today's call is ASML's third-quarter 2015 results.

  • This call is being broadcast live over the Internet at ASML.com and a replay of the call will be available on our website for approximately 90 days.

  • Before we begin,

  • I would like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities laws.

  • These forward-looking statements involve material risks and uncertainties and for a discussions of these risk factors I encourage you to review the Safe Harbor statement contained in today's press release and presentation found on our website at ASML.com and in ASML's annual report on Form 20-F and other documents as part of the Securities and Exchange Commission.

  • The length of the call will be 60 minutes and now I would like to turn the call over to Peter Wennink for a brief introduction.

  • Peter Wennink - President & CEO

  • Thank you, Craig.

  • Good morning and good afternoon, ladies and gentlemen, and thank you for joining us for our third-quarter 2015 results conference call.

  • Before we begin the question-and-answer session, Wolfgang and I would like to provide an overview and some commentary on the quarter and provide also our view of the coming quarters.

  • Wolfgang will start with a review of the third-quarter financial performance with some added comments on our short-term outlook.

  • Then I will complete the introduction with some further comments on the current general business environment and our future business outlook.

  • Wolfgang?

  • Wolfgang Nickl - EVP & CFO

  • Thank you, Peter, and welcome, everyone.

  • For Q3, our net sales came in at EUR1.55 billion.

  • This includes system sales of EUR975 million, of which memory represented 56% and logic represented 44%.

  • Service and field option sales came in strong at EUR574 million.

  • This part of our business's growth continues, driven by strong demand for holistic lithography options, high-value upgrades, and a growing installed base.

  • Our gross margin for the quarter came in at 45.4%, slightly above our guidance.

  • R&D expenses came in at EUR267 million and SG&A expenses came in at EUR86 million, both slightly below our guidance, positively affected by slower hiring, more favorable than planned exchange rates, and some one-time effects.

  • Turning to the balance sheet, quarter over quarter cash, cash equivalents and short-term investments grew to EUR2.68 billion from EUR2.52 billion.

  • During the quarter, we repurchased shares for EUR142 million, bringing the total for repurchased shares to EUR426 million year-to-date.

  • Regarding the order book, Q3 bookings came in at EUR0.9 billion, slightly below our Q2 non-EUV bookings.

  • Strength in memory bookings continued to be notable.

  • We finished the quarter with a strong overall backlog of approximately EUR2.9 billion.

  • With that, I would like to turn to our expectations and guidance for the final quarter of 2015.

  • We ended Q4 with a strong and nicely balanced system backlog.

  • With continued strong service and field option sales in the quarter, we expect Q4 revenue to be approximately EUR1.4 billion.

  • Based on expected customer and product mix, we expect gross margin for Q4 at around 45%.

  • R&D expenses for the fourth quarter will be about EUR270 million and SG&A is expected to come in at about EUR90 million.

  • Our annualized tax rate is expected to come in at approximately 11%.

  • Q4 is affected by what appears to be a more cautious foundry segment.

  • This is resulting in more limited current foundry node capacity additions, leaving our Q4 revenue guidance below Q3 and removing the potential Q4 upside in this sector we discussed last quarter.

  • However, Q4 foundry equipment shipments will support early next-node volume ramps.

  • In addition, given ongoing memory bookings strength, we expect that sales to the memory sector will remain healthy in Q4, although slightly below last quarter sales levels.

  • Given these assumptions for the rest of 2015, we can clearly state that this will be a record sales year for ASML.

  • 2015 revenue will have been enabled by another strong memory year, driven by capacity additions in two new DRAM fabs and by some additional capacity needs in the one existing 3-D NAND fab.

  • The foundry sector will have increased versus 2014 as volume ramps continue at current and prior nodes.

  • We will also have initial but limited shipments for 10 nanometer.

  • Lastly, 2015 will mark a record sales level in our service and field options business.

  • Peter will talk more about you the shortly but I would like to make a few points regarding 2015 EUV shipments.

  • As most listeners are aware, we continue to show great progress in improving on key EUV performance metrics related to productivity and availability with unchanged targets of improving both further throughout this year and next.

  • Without a doubt, EUV continues to progress upwards along the new technology adoption curve.

  • We are delighted to have a total of eight purchase orders for our NXE:3350 and NXE:3400 production systems plus the three remaining EUV development tool orders for NXE:3300 systems, of which two are going to be upgraded.

  • These 11 tools will go to five different customers.

  • However, you now see that our shipment expectations for 2015 has changed to four systems, down from our prior expectation of seven systems for the year.

  • Changes to shipment schedules are largely due to our customers' uncertainty on timing of new nodes as well as their near-term priority setting.

  • As a reminder, all top manufacturers have had EUV development tools in-house and in use for some time.

  • Also, two logic manufacturing leaders have now publicly committed to production insertion of EUV.

  • We expect EUV revenue for 2015 to be limited to the one NXE:3300 that we recognize during Q2.

  • For the time being, revenue recognition for EUV remains difficult to forecast since it depends, amongst other criteria, on the achievement of performance milestones and differs from customer to customer.

  • Once the performance of EUV technology has matured, we expect to recognize EUV revenue on system shipment as we do it in our EUV business.

  • As we announced on our last call, we will share our expectations regarding revenue recognition as we ship systems.

  • For the NXE:3350 that is being shipped right now, we expect to recognize approximately EUR60 million of system revenue in the middle of 2016, with the remaining balance deferred to 2017.

  • Now with that I'd like to turn the call back over to Peter.

  • Peter Wennink - President & CEO

  • Thank you, Wolfgang.

  • As Wolfgang highlighted, the expectations for the second half of this year have been adjusted due to caution amongst our logic customers as it relates to current 28 nanometer and 16, 14 nanometer node ramps.

  • However, with these adjusted numbers we will still see a record revenue year in 2015.

  • While it is too early to say anything quantitatively about 2016, we do see trends and developments that are worthwhile mentioning.

  • In memory, our customers are currently indicating to us that their system demand will continue at healthy levels throughout the first half of 2016, albeit somewhat below our high Q3 sales levels.

  • We expect, as Wolfgang mentioned, that the two new DRAM fabs will continue to install capacity next year.

  • Meanwhile, in NAND, we expect to limited lithography tool shipments to 3D NAND in 2016.

  • The only existing volume 3D NAND stack will likely be full by the end of this year and the two new fabs will likely take limited equipment given that 3D NAND is still in its early stages of product introduction and the new fabs are not fully online.

  • Given this memory landscape, we estimate that are sales to memory customers could be down year-over-year.

  • By how much will depend largely on end demand and the aggressiveness with which customers will feel the effects.

  • As mentioned earlier, in finishing out this year, logic customers have clearly taken a more cautious stance on capacity spend for 28 and 16 and 14 nanometer.

  • However, the near-term completion of two new foundry fabs are designed to support the next advanced 10 nanometer FinFET ramp.

  • The most recent customer indications are that this technology introduction is progressing well.

  • This node should provide high value given it significant shrink versus the 20/16/14 nanometer node, hence their continued and clear commitment to ramp 10 nanometer starting in the second quarter of 2016.

  • The speed and ultimate spend levels for logic in 2016 will depend on the rate at which our customers will be able to execute their ramp.

  • And furthermore, field options and services will carry their strength into 2016 and will continue to show growth.

  • On the ASML products side, I would like to highlight the fact that we are launching two new scanners, one under our DPP program and one under our EUV program.

  • This is enabled by an almost equal split in R&D spend on our DPP platform, which is vital in supporting the existing industry needs, and our EUV platform supporting the industry's future leading edge lithography needs.

  • In addition, we continue to enhance our holistic lithography product offering, now also moving significantly into the EUV area.

  • Firstly, with respect to our DPP platform, we have now shipped our first NXT:1980 immersion product, which has demonstrated 40% improved focus uniformity, 30% improved overall accuracy versus our prior offering, along with the world's first 275 wafer per hour immersion capability.

  • This world-leading capability will help our customers address the increasing and overwhelming cost and complexity of immersion multiple patterning and amortizing process control requirements that come with continuing logic and DRAM node shrinks.

  • Regarding our holistic lithography products, leading-edge customers are using powerful suite of immersion process, window enhancement and process control solutions to optimize yield at a 1 nanometer or the 1x nanometer production nodes.

  • Holistic lithography products are now also extending into the EUV processes, with customers evaluating our EUV source mask optimization software for development of 7 and 5 nanometer technologies.

  • And finally EUV.

  • As most of you are aware, our 2015 focus has been on improving EUV stability, availability, and productivity, the key metrics of performance that now drive new lithography technology adoption.

  • In several recent public presentations, our customers have recognized our EUV progress in these areas.

  • On the raw productivity side, we have system configuration that has demonstrated more than 1000 wafers exposed in a 24-hour period.

  • In a full-week customer-run manufacturing readiness test at the production conditions, we've seen 15,000 wafers exposed, with comparable results achieved using the same power configuration at multiple customers.

  • Several customers have achieved four-week average system availabilities of greater than 70%.

  • However, the overall worldwide average is going to be still lower, indicating that performance consistency needs to be further improved.

  • Now, Wolfgang discussed the encouraging state of our EUV orders.

  • Key in our orders are two leading logic manufacturers that are now publicly stating the need for EUV at 7 nanometer.

  • The speed at which of these and other customers order and adopt EUV is influenced by multiple factors.

  • That is in any case dependent on the timing of the initial production ramp for these next advanced nodes.

  • In that context, some customers have indicated that with the current challenges, multiple patterning schemes which drive significantly increased complexity, the timelines for current advanced nodes -- for instance 10 and 14 nanometer logic -- have been prolonged.

  • This is forcing them to put priority on the integration efforts on these current nodes.

  • These combined factors have therefore directly affected EUV tool delivery requirements in 2015, pushing out some of those deliveries to 2016.

  • However, as mentioned before, the need for EUV for the 7 nanometer node remains unabated.

  • We do not believe that the decisions or timing of EUV shipments will affect the Company's stated target of reaching EUR10 billion of sales by 2020 since, as most of you know, we considered a three-year node cadence when preparing the opportunity analysis that we shared with you last year.

  • And finally, as our customers are collectively saying and consistent with our previous suggestion, EUV remains a question of when, not if.

  • Now with that, we would be happy to take your questions.

  • Craig DeYoung - VP - IR Worldwide

  • Thanks, Peter and Wolfgang.

  • Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session but beforehand I'd ask you to kindly limit, as I always do, to one question and one short follow-up, if necessary.

  • This will allow us to get to as many callers as possible.

  • Now, Aaron, could we have your instructions and then the first caller, please?

  • Operator

  • (Operator Instructions) Sandeep Deshpande.

  • Sandeep Deshpande - Analyst

  • Yes, hi.

  • Sandeep Deshpande from JPMorgan Cazenove.

  • Hi, Peter.

  • I have a question on -- clearly your customers are indicating some level of caution in terms of placing orders at the moment for fourth quarter as well as potentially in the first half of next year.

  • Do you have associated with this likely software revenue trend into next year, whether -- you are not guiding to 2016 but you probably have seen -- had some views on what revenues in 2016 are going to be -- do you have any views on how much -- how your OpEx will trend into 2016, and is there any flexibility in OpEx in the event that there is a decline in revenue next year?

  • Peter Wennink - President & CEO

  • Yes, I think the flexibility on OpEx -- Wolfgang, you can take that -- but generally, I think you're staying cautious planning orders.

  • I think it's particularly true for foundries, as I said in the introductory call, which I think -- when you look at foundry, it's very clear in the discussion with our logic customers -- it's not only foundry, but our logic customers, we see the 10 nanometer ramp as being very real.

  • I know there have been questions with many people, how real is it, but in the discussions we are having with customers and the progress that they are making which they have shared with us, we believe it's very real but it will not start before Q2, and I think before -- so before things turn up in Q2, I think for logic, things are likely going to be a bit lower than a bit higher, but it will definitely start going up in Q2.

  • On the flexibility of our OpEx, Wolfgang?

  • Wolfgang Nickl - EVP & CFO

  • Yes, hi, Sandeep.

  • We are right now running on R&D just shy of EUR270 million per quarter and just shy of EUR90 million per quarter on SG&A, and our intent is to keep it around these levels for next year, and as we have stated before, we do have some level of flexibility in all of our OpEx.

  • We're not looking at any major downturn that we are right now looking at exercising these options, so you should model in your models about flattish OpEx for next year.

  • Peter Wennink - President & CEO

  • Yes, and in terms of the flexibility, we have about a 20% workforce flexibility, which is labor contracts that are not on the payroll which is basically outsourced.

  • Sandeep Deshpande - Analyst

  • And just a follow-up on EUV, Peter, in terms of -- there have been multiple milestones reached on EUV, but most of these have been with top-tier customers.

  • Is there any sign of next year of customers coming into the program and showing an interest in EUV?

  • Peter Wennink - President & CEO

  • Yes, I think we have discussions with all our major customers which is about seven.

  • They were all talking very in-depth every time that we meet them.

  • We have the logic part of our meeting is indeed about EUV.

  • So it's not only the top three logic innovators, definitely also very serious discussions on EUV introduction for our memory customers.

  • Sandeep Deshpande - Analyst

  • Thank you.

  • Operator

  • Mr. Korschelt.

  • Kai Korschelt - Analyst

  • Yes, gents.

  • Thank you for taking the question.

  • It's Merrill Lynch.

  • The first one was just on EUV adoption and memory.

  • You may have mentioned some of the smaller customers, but I think there was an article yesterday or a couple days ago that on the DRAM side, EUV adoption could be on the roadmap for 15 and 10 nanometers.

  • So I'm just wondering do you have any more color and if that were the case, in which sort of timeframe could you start to look at orders from those customers?

  • The second question was, just on the logic side, I think Intel yesterday indicated that will probably spend more CapEx next year.

  • It also seems that TSMC and Samsung, so two foundries ramping 10 nanometers.

  • So you basically have the entire logic camp starting that 10 nanometer ramp ,which I don't think has ever really happened in history so I'm just wondering, shouldn't that imply a pretty material stepback in your total revenues from the second quarter onwards?

  • Thank you.

  • Peter Wennink - President & CEO

  • Two questions, first on the EUV introduction in memory, in DRAM, you're talking about 16 and 10.

  • Well, we tend to talk about I'd say around 16 nanometers, so let's start with that.

  • That is, for us, scheduled -- when we look at the roadmaps, 2018, early 2019, so that means as the year shipment before that means that 2017 -- end of 2017 at the latest shipment -- at the latest.

  • So that would mean that we need to conclude orders into the course of next year.

  • On the 10 nanometer ramp for logic, very clear that, yes, you're absolutely right.

  • We see all major logic makers bringing 10 nanometer forward.

  • There is a difference as we see in terms of timing of introduction, timing of start of risk production.

  • But generally you are right.

  • You see all the leading logic makers moving into the 10 nanometer early in next year.

  • Now that -- like I said earlier in the previous question -- answer to the previous question, we think we see things turning up in Q2.

  • It depends, generally, these ramps also depend on how well those 10 nanometer introductions go from a -- -- that's a yield and a production qualification point of view.

  • Now there, I must say, we have had some good and positive news also from customers that things are going well, so, yes, that might look favorable going -- let's say, onward from the second quarter.

  • But like I said, we do not control, unfortunately, those advanced ramps for our customers.

  • But that will determine the speed and the size of that opportunity in 2016.

  • So let's keep our fingers crossed that we see the good development that we currently see.

  • We see that continuing.

  • Kai Korschelt - Analyst

  • Thank you.

  • Operator

  • Mr. Hosseini.

  • Mehdi Hosseini - Analyst

  • Prior question, last question.

  • Over the past several years, as logic foundry demand has actually turned out to be weaker than expected because Moore's Law is just becoming very expensive, and this kind of brings back EUV to the picture but EUV is more like a bit later this decade when it is going to be commercialized.

  • So, Peter, with that as a background, do you see a scenario where 10 would actually end up disappointing because the cost is going up?

  • And isn't this why the 10 nanometer ramp has tended not to be as slow as what we experienced with 20 and 16?

  • Peter Wennink - President & CEO

  • Mehdi, it's always a bit of a speculative question, which should warrant a speculative answer, which I'm not going to get.

  • What we are seeing on 10 nanometer is a level of cooperation with our customers, with our logic customers, to manage the complexities of 10 nanometer multiple patterning, which should lead -- and that's what we're currently seeing -- to yields that should provide our customers and their customers with a cost per function, a cost per bit that is still competitive.

  • That is at least for the larger applications, the applications that use the larger volume still a very attractive node.

  • Don't forget if you talk about 10 nanometer and you compare the 10 nanometer shrink to the 20 nanometer shrink, just from a labor point of view, 16/14/20 is about same.

  • You talk about the significant shrink, that's a real shrink with a real cost benefit.

  • It's hard to imagine that the end-users and the customers of our customers are going to walk away from that benefit.

  • It is also what customers keep telling us and like I earlier said, the progress on the 10 nanometer development is going well, so we currently don't think it's going to be a small node because of that reason.

  • You did mention one other point which I think is important.

  • You said 10 nanometer is going to be an expensive node, which is true, and it is -- and also EUV is going to be a solution.

  • But to be very frank, we've always planned 10 nanometer to be an EUV node.

  • Now we're just late and this unfortunately leads to a situation where customers need to apply complex local patterning strategies, which probably means that the 10 nanometer node is going to be prolonged, which actually has an impact on EUV which is the EUV needs 6 to 12 months back for those guys, which, by the way, is what we put into our simulation model last year because we anticipated some of that complexity coming.

  • So in that context you will see 10 nanometer and EUV.

  • Mehdi Hosseini - Analyst

  • Got it.

  • Thanks so much.

  • Operator

  • Mrs.

  • Sundararajan, Summit Research.

  • Srini Sundararajan - Analyst

  • Hey, this is Srini Sundararajan from Summit Research.

  • I just wanted to know like how much DRAM CapEx spending might be going down next year for the full year 2016, if at all, and how much of it would be -- how much of the DRAM spending will be in the form of capacity growth and how much for shrinks?

  • That's my only question.

  • Peter Wennink - President & CEO

  • Yes, I think the total DRAM CapEx spending is really dependent on the aggressiveness with which our customers want to fill the existing new fab space that has been opened at the end of last year and the middle of this year.

  • Those are very sizable fabs.

  • There is a lot of potential capacity there and I think it will be a function of the end market demand and how much aggressiveness our customers will want to put into the buildup of that capacity, which will -- of course will have an impact on the supply of DRAM.

  • So I don't know how to deal with that.

  • So this is a bit of -- it is a good question.

  • If you had the answer, I would like to know.

  • But we don't have that answer readily available because it depends on the customers.

  • What we do know is that the first half of 2016 is still health, but the second half is still a bit too far out.

  • How much of that is shrink?

  • Well, those new fabs that we are talking about are for the leading-edge nodes.

  • So it's shrink and capacity because the two new fab and we will take basically the leading edge devices.

  • It's both.

  • Srini Sundararajan - Analyst

  • Thanks so much.

  • Thanks so much.

  • Operator

  • Mr. Iyer.

  • Jagadish Iyer - Analyst

  • Yes, Redstone Research.

  • Two questions, Peter.

  • So if I look at the immersion revenues over the last several quarters, starting from the beginning of the year, there has been a steady decline in your immersion revenues.

  • Given that that is significant double patterning happening, why are the immersion revenues going down?

  • And I have a follow-up.

  • Wolfgang Nickl - EVP & CFO

  • Yes, this is Wolfgang; I'll take a crack at this.

  • You're right, I mean in general when you look for instance 28 going to 20/16/14, the litho intensity goes up by some 40%, 50%.

  • You're also right in your observations that our systems revenue as a Company has been fairly stable over the last couple of quarters.

  • I think there is three reasons for this at least.

  • One is that we have provided upgradability in our roadmaps to our systems and you will have seen that -- if you just take this year versus last year we went from EUR1.6 billion to we will be somewhere around EUR2 billion on field options and services, so we do upgrades that don't show up in our systems revenue.

  • Second reason is that in particular over the last couple of years we have provided our customers in response to the multi-patterning requirements that Peter mentioned, with significant performance upgrades.

  • We have 250 wafers per hour and now we're going to 275 wafers per hour.

  • That's not going to go on forever.

  • We're the reaching the physical limits there.

  • And last but not least, and as was also already mentioned by Peter, we see the period of time over which customers facilitate certain nodes stretching out.

  • If you take the 20/16/14 for instance, not all that equipment is being bought in a two-year period.

  • We have started to ship in the second half of 2013.

  • We are shipping in 2014 and 2015 we're actually going to ship into 2016 as well, so those are the three reasons why you are not seeing a big expansion on the system revenue yet.

  • Jagadish Iyer - Analyst

  • Okay, thank you.

  • And just as a follow-up, I don't know, Wolfgang, you mentioned in terms of revenue recognition for EUV did you clarify that for 2016 it will be just probably limited to one system?

  • Is that something that I heard or did I hear it correctly?

  • Wolfgang Nickl - EVP & CFO

  • Yes, I'm glad you asked the question because we want to be crisp on that.

  • Let me just give you a precursor.

  • Revenue recognition is somewhat difficult for us on EUV and is in particular difficult to forecast.

  • We have a pretty good view on the volumes, but then the revenue recognition in detail depends really on what customer we ship to, certain performance milestones, and other accounting-related treatments.

  • In the long run, by the way, we want to do this like we do it in EUV at shipment.

  • But what we said last quarter is that we are going to give you guidance as we ship systems.

  • Starting with the 3350 that's being shipped right now, we're telling you that of that revenue, EUR60 million will be recognized by mid-2016 with the rest in 2017.

  • But that's just for this particular system that's shipping right now.

  • As a reminder, we are planning to ship another two systems this quarter and there will be very likely revenue next year as well, and then we plan to ship somewhere -- if I want to pick a range, additional six, seven tools or so next year on top of that.

  • There will be some revenue, because remember there will be some 3300s in there.

  • There will be some revenue.

  • So the EUR60 million I mentioned is by no means the entire EUV revenue, it's just the one that is attributed to the system have started shipping now.

  • In our January call, we're going to talk about the shipments that we will make this quarter and how that will translate into 2016 revenue.

  • I think -- and hopefully we can give more color on that -- giving that in January, but I hope that clarifies this a little bit for you.

  • Jagadish Iyer - Analyst

  • Yes.

  • Thanks for clarification.

  • That's absolutely clear.

  • Operator

  • Mr. Sanganeria.

  • Mahesh Sanganeria - Analyst

  • Yes, it's RBC Capital Markets.

  • Peter, question on the memory, you said memory being healthy in the first half driven more by DRAM new capacity.

  • When you look at the memory next year and you said overall memory could be down, so in your downside scenario are you looking at more downside from NAND or from DRAM?

  • Peter Wennink - President & CEO

  • Well, it's down year-on-year.

  • We've had a particularly strong year in 2015, which was driven by the fact that we had -- it was a large DRAM factory coming online the end of last year -- just at the end of last year, taking tools throughout this year, and a very large factory coming online end of year taking tools.

  • So in that sense, that's a significant DRAM shipment this year.

  • NAND was pretty stable as compared also to the year before, to 2013.

  • Now, when we look at the total memory market, DRAM will be driven by as I said the further buildout for the first six months of the year.

  • We have a pretty good visibility on it, but we don't know exactly what customers are going to do with what speed and what aggression, you could say, are they going to fill up the remaining capacity in the second half of the year.

  • That's where it becomes a bit more unclear.

  • And on NAND, NAND is really driven by 3D NAND.

  • And on 3D NAND, by the end of this year, the only dedicated 3D NAND fab will be full.

  • That means there will be -- sorry, two new 3D NAND fabs coming online, but that will be -- they will be limited in terms of the number of capacities that it will take, driven by the fact that it is still a device architecture in its first phase of its life.

  • So it's not going to be a full ramp.

  • Some of that capacity only comes online in the course of a year.

  • So by design, if you then say 3D NAND is the driver next year, there is a limitation in terms of available capacity and available appetite we think to go fast.

  • It will probably going to be more 2017, so it's those things that we said there could be at a likelihood that DRAM will be lower than in 2016 as compared to 2015, largely driven by the strength of 2015.

  • Mahesh Sanganeria - Analyst

  • Okay.

  • That's very helpful.

  • And on the 10 nanometer, the volume production is slated for second half of 2017.

  • And so if that was the timeline, how much before do you really ship the volume to your customer?

  • Is it second half?

  • What you are seeing, are those pilot lines or mass production tools?

  • And what will be really volume out in the second half of 2017?

  • When does the volume tool go into fabs?

  • Peter Wennink - President & CEO

  • Yes, I think what you need to understand is that -- we mentioned it a couple times before that 10 nanometer is highly complex.

  • It's complex because it involves multiple patterning strategies for multiple advanced layers, which actually means that the time between shipping a tool and the qualification for production lengthens.

  • So you should not underestimate that time.

  • So when there is and -- all the roadmaps, let's say a volume ramp starting the second half of 2017, you need to think about a year earlier that you need to put those tools in and to make sure that you can grow to this full ramp, which means that the first pilot ramp will be starting somewhere in the middle of next year and the first risk production is starting at the beginning of the year.

  • The new fabs will be ready soon.

  • They will be able to take machines in the second quarter.

  • Those machines will take time to install.

  • It's about a quarter.

  • So you are in Q3 of 2016 before they can start working on pilot production and then it's logical that the volume production will be in the middle of 2017.

  • These things get more complex; takes more time.

  • So in that sense, it all fits.

  • Mahesh Sanganeria - Analyst

  • Okay.

  • That's very helpful.

  • Thank you.

  • Operator

  • Mr. Ahmad.

  • Farhan Ahmad - Analyst

  • Hello, this is Farhan from Credit Suisse.

  • My first question, Peter, is on the 3D NAND side.

  • There is a very different commentary that I am hearing from you guys versus the rest of the industry.

  • We are seeing like [EMAT], others speaking of all-time highs because of orders [available] from Toshiba and there is like a big conversion in Toshiba that's happening next year and also at Micron.

  • So I wanted to understand is there a big difference between a customer that builds a new fab on 3D NAND versus somebody who is converting their fab from planar to 3D?

  • Is there a big difference in inventory density?

  • Peter Wennink - President & CEO

  • On the 3D fab, generally as a greenfield fab, we need about 10% more litho in a greenfield fab with the same wafer capacity out.

  • We are basing what we see in 3D NAND based on the customer contacts that we have and on the shipment patterns that they are asking and on the wafer start capacity that they want to add.

  • And we believe it is -- it doesn't show a full aggression.

  • You have mentioned Toshiba, they will likely be ready with their fab, taking tools at the end of this year.

  • We don't foresee at a very strong ramp.

  • With Micron, that fab will only become available in the course of the year, whereby of course the fab needs to ramp up . And those are all elements that make us believe that 2016 will be a limited year for 3D NAND.

  • 2017, definitely.

  • 2017 you see these two fabs ramping.

  • That would probably be a much stronger year.

  • We just see a slower 2016 than what you seem to indicate.

  • Farhan Ahmad - Analyst

  • Got it.

  • Thank you.

  • And then my second question is on the service side.

  • You guys had record-high revenues in service.

  • But if I look at your service revenues, they're growing from about EUR400 million a year ago to EUR575 million, almost like a 40% increase.

  • I just wanted to understand how sustainable is the revenue level on the service side.

  • Usually service tends to be more stable so we just wanted to get a sense of how we should think about service revenues and quarter-to-quarter [within the middle of that.]

  • Wolfgang Nickl - EVP & CFO

  • Yes, I'll take that.

  • This is Wolfgang.

  • First of all, you're right.

  • We do in a quarter now that what a few years ago we did in a year.

  • But I would like to just make sure that we are clear on the nomenclature.

  • It's field options and services.

  • So, it is really driven by options that we make available on our holistic lithography, the software products, but also the upgrades that we enable.

  • If you have a 1950 immersion system, today we can upgrade that two or three levels, and those are major upgrades.

  • I mean there like EUR20 million.

  • Peter always calls them heart surgery.

  • It's basically almost replacing the scanner.

  • So that is increasing quite a bit.

  • The installed base is increasing as well and with that we have higher service revenue.

  • It's a good business for us.

  • This year, I mentioned earlier in the response to another question, I think we'll be around EUR2 billion and we see that business continuing to grow.

  • For modeling purposes, I think if you take a 10% increase year over year, I think you're in the ballpark.

  • So it's a strong business for us and it continues to grow.

  • Farhan Ahmad - Analyst

  • Thank you.

  • That's all I had.

  • Operator

  • [Mr.

  • Ho.]

  • Unidentified Participant

  • Thank you very much.

  • Maybe as just a follow-up, excluding the leading foundry players that are at 16 and 14 nanometers right now, how do you see some of their caution right now potentially impacting their 10-nanometer rollouts?

  • Peter Wennink - President & CEO

  • Yes, we don't see that caution at all as it relates to 10 nanometer.

  • When we talked about caution, it had to do with -- also had to do with foundries not being the, let's say, the leading foundries.

  • We mentioned last quarter that we also saw still some upside in areas of China, areas of Taiwan, not specifically in the leading edge.

  • That has all disappeared and it had to do with 28 nanometer also.

  • So 28 nanometer, where we planned some shipments for the remainder of the year, did not turn out to happen.

  • I think it has largely been in that area, 28 nanometer.

  • Here and there, some 16 and 14 nanometer tools, but those are you could say two, three tools in total and two tools are the easily E100 million.

  • So you have to put it in context.

  • So it's that caution -- that does not, let's say, I would say affect the eagerness with which customers -- I'm not talking about the 10 nanometer ramp.

  • The 10 nanometer ramp, they keep pushing us and telling us that this needs to happen for several reasons.

  • It's very strategic for all the leading foundries to be there with the right yields at the right time for the leading-edge customers and they are pushing it.

  • There's no relation to this weakness or weakness or caution that we have seen in 28 and 14/16.

  • Unidentified Participant

  • Great, that's helpful.

  • And just a follow-up question on the EUV side with you now shipping the 3350B systems to your customers, are there different milestones for qualifications on that and that again will impact the revenue recognition of those systems relative to some of your earlier ones?

  • Wolfgang Nickl - EVP & CFO

  • Yes, like we said, it will depend customer-by-customer and, yes, when we do additional orders with additional customers, it may be that the terms and conditions will differ slightly from what we have on orders right now and that would impact when we would recognize revenue for these tools.

  • Peter Wennink - President & CEO

  • If I may add, too, that with the earlier tools, we sold the earlier tools to the R&D folks.

  • And the R&D folks had only one qualification requirement.

  • They said ship us a tool that can print a wafer.

  • When we can print a wafer, you can do rev rec because that was the only requirement.

  • The 3350 is a production tool.

  • We're selling those tools to production people, to wafer fab bosses.

  • They have different requirements than just to create one wafer.

  • So this is where those requirements kick in in there and that's why the rev rec is a bit more complicated than the ones that we sold to the R&D folks.

  • Unidentified Participant

  • Great, thank you.

  • Operator

  • Mr. Jenkins.

  • Gareth Jenkins - Analyst

  • Yes, thanks.

  • It's Gareth Jenkins from UBS.

  • A couple of follow-ups if I could.

  • Sorry to go back to 10 nanometers but I just wondered if we can talk about the length of the node and maybe the size.

  • Would we be right in assuming that would be a fairly short nodes or maybe 1 1/2 years before 7 comes in in logic?

  • And given I guess litho density, the length of the node, and maybe some of the end demand weakness that we're seeing that the size might be slightly smaller than 28 or 14 in terms of capacity?

  • And then maybe I'll come up with the other one afterwards.

  • Thanks.

  • Peter Wennink - President & CEO

  • Yes, let's make one thing clear.

  • When you talk about 10 and 7 nanometer, you probably talk about the specific roadmap that is out there.

  • When we look at it -- let's look at it together.

  • For us, the 10 and 7 nanometer is the same lithography tool.

  • So in that sense it is not a 1 1/2 year.

  • For us, it is closer to a three-year.

  • That also coincides with some other comments that were made by other customers about a prolonging of nodes.

  • That's what we're seeing.

  • We're seeing it happen at 10 nanometer.

  • The real lithography step that we will see is what -- is where we introduced EUV and whether you call it 7 or 5 or however you want to call it, that is in the 2018/2019 timeframe.

  • And that means that we need to ship in 2017 -- start shipping in 2017, which means this is exactly in our plan.

  • So node naming can be a bit confusing.

  • We just look at what type of machine, litho tool is needed when.

  • That means that our advanced DPV immersion tools are needed largely in 2015 and 2016 and big part in 2017 and EUV starts to be needed in 2017 shipments for us, in use for our customers in 2018/2019.

  • So this is how we look at it.

  • I also think this is how you should look at it when you talk about lithography.

  • Gareth Jenkins - Analyst

  • Thanks, Peter.

  • And maybe just a follow-up on EUV.

  • We've talked a lot about rev rec but could we talk about cost rec?

  • I presume you'll be taking cost upfront but if so what's the timing on that?

  • Is it -- do you recognize all the cost on the initial EUR60 million?

  • Can you talk about cash recognition as well as so when you would actually expect to see cash payments for these?

  • Thanks.

  • Wolfgang Nickl - EVP & CFO

  • Yes, again, you'll not be surprised, this will differ customer-by-customer as well.

  • But yes, this will make it even more complicated.

  • We will have situations where we have to recognize that cost when we start recognizing some revenue.

  • In that case, we'll get a little bit out of whack on the margin.

  • We will have to describe that effect to you.

  • Then the cash that we put a lot of attention on and you can, in general, assume that we get cash earlier than we recognize revenue.

  • Gareth Jenkins - Analyst

  • Thanks.

  • Operator

  • Mr. Meunier.

  • Francois Meunier - Analyst

  • Yes, it's Morgan Stanley.

  • I've got two technical questions.

  • Actually, the first one is actually on this 3350B, which was, in my mind, supposed to be delivered and installed this summer.

  • So could you maybe explain what are the technical difficulties that you are facing with this tool?

  • I understand it's quite difficult to deliver but still I would like to understand what is the delay related to.

  • The second question is around the ecosystem.

  • I'm sure you send people to SPIE Photomask in Monterey.

  • I was there.

  • It looked like the ecosystem has made lots of progress regarding the pellicle, regarding the mask manufacturing and which size, but there is still this actinic through the pellicle inspection tool which is missing for very high-volume manufacturing.

  • So how do you think this will be resolve with (inaudible).

  • Thank you.

  • Peter Wennink - President & CEO

  • Well, to talk about the first one, the 3350B shipped two or three months later than we thought.

  • That had to do with the fact that we have a new drive laser there.

  • That is a laser that is basically shooting at the thin droplet.

  • It was the stability of that laser we had some issues with, but that's all resolved now so that's why we shipped the tool.

  • It's -- when you have a big new piece of equipment and you have a planning on the integration, sometimes the integration takes a bit longer.

  • This was the case.

  • On the ecosystem, there is this question of whether do we need or do not need an actinic inspection tool that can actually inspect through the pellicle.

  • This has been a question that has been around for a couple of years.

  • I think the general consensus is that for the EUV introduction, also for the production introduction, we do not need it.

  • We might in the end need it if feature sizes keep going down and we keep shrinking to 5 nanometer, 3 nanometer.

  • By that time we'd need something like an actinic inspection tool, but that's not in sight.

  • So that's next decade.

  • Until then, we think we can definitely live with the solution of a removable pellicle and it's up to us and our customers to prove that actually the removable pellicle is such a good solution that we don't need an actinic inspection tool at all.

  • This is where we are today, so not -- once we have no impediment to EUV introduction in production in this -- I would say remainder of this decade.

  • Francois Meunier - Analyst

  • Okay, and then a quick question about the new DV systems in 1980.

  • What's the price increase versus the previous version which I think was in 1950?

  • Peter Wennink - President & CEO

  • 1980 is a complex tool.

  • It has some price increase but it has to do with the productivity.

  • So while we have the high productivity as compared to the previous tool, that provides higher throughput, lower cost per wafer, lower cost per die, lower cost per bit, and that will reflect in the tool pricing.

  • So as you know, we had a previous tool that -- in 1970 that was able to do 250 wafers per hour; this one 275.

  • Francois Meunier - Analyst

  • Okay, thank you.

  • Operator

  • Mr. Harchandani.

  • Amit Harchandani - Analyst

  • Oh, hi.

  • Thanks.

  • Amit Harchandani from Citigroup.

  • Thanks for taking my questions.

  • Two, if I may.

  • Firstly, with regards to EUV and the shipment being delayed from this quarter here into next year, if I could go back to that and just try to understand a little better, is that aimed at risk reduction?

  • Is there a particular reason why the customers were unwilling to proceed on that as planned in parallel with the focus on the next node, which is the 10 nanometer?

  • I appreciate 10 nanometer is a priority but is there a particular reason that you think that has changed in the past three months.

  • So if you could dig a little bit more into that.

  • And as a follow-up, if I may ask you more broadly strategically, looking at areas outside lithography, if you've talked about in collaborating with partners on the etching side, could you give us a sense of your progress there.

  • Thank you.

  • Peter Wennink - President & CEO

  • Yes, on the EUV shipment delay, I think I mentioned, but to be clear, the issue with 10 nanometer is that we are late with EUV.

  • That is a major issue.

  • We should have had EUV risk reduction or production ready at 10, which actually we didn't for all kinds of reasons.

  • But it's complex and we had the summer acquisition and we didn't make enough progress fast enough.

  • I think we're hitting our targets for 2015, so we're aiming at hitting our targets in 2016 so I think we're on the right track.

  • But we cannot change history.

  • We cannot change the fact that we're late, so that meant that we forced our customers into a bridge solution, which is 10 nanometer, doing with multiple patterning immersion.

  • That is highly complex and when we talk to our customers and you are absolutely right saying that 10 nanometer is the first priority; it's very strategic.

  • We then talk to customers and say what are they focusing on and how can they divide their capacity of people that can do the integration for 10 nanometers and do the development work for 7 nanometers, that capacity is limited.

  • That -- virtually all their people are working on the 10 nanometer introduction because that is what the first priority is.

  • Now, with that fact that 10 nanometer is also stretching out so in the lifetime, as you could say, the time of that node is getting longer, it is natural that also they need individuals later.

  • They need it later because EUV is getting introduced a bit later but also they need all their resources to do the 10 nanometer development.

  • Those are the reasons why I say, okay, we can now take -- it's a EUR95 million or close to a EUR100 million tool today or we can take it when we actually need it and we have the resources to deal with it.

  • And this is where we are today.

  • It's a very practical solution that customers are now discussing with us, finding out that 10 nanometer is not as simple as we thought and that their initial desire to stay on the two-year cadence is getting more complex.

  • You move on and you learn more, and this is what has happened.

  • This happens throughout the year and I think it has become clear to us over the last three months that this is where we are and this is the situation and we're going to help our customers deal with it.

  • Okay, then the second question.

  • On etch, I think one of the issues is when you keep shrinking and you do multiple patternings that one thing as overlay.

  • Now overlay, we do actually have a metrology solution that is integrated called YieldStar, but you also have a CD, the critical dimension, how you want to go through all the critical dimension.

  • The CD uniformity is not only determined after the imaging process but also after the etch process.

  • We are working together with an etch company, but also with an applied research company called [IMEC] to see what we as a combination of tool suppliers can do to help our customers to control that CD uniformity when we keep shrinking to 10, to 7, to 5. And that's work that's ongoing but I would say it's ongoing more on a research and development level where we work together with those companies.

  • Amit Harchandani - Analyst

  • That's very helpful.

  • Thank you, Peter.

  • Craig DeYoung - VP - IR Worldwide

  • Let me jump in here for a moment.

  • I think we have time for one last question and of course the associated follow-up.

  • So if you are unable to get through on the call and still have questions post the call, feel free to contact ASML investor relations department with your question and we will get back to you on that as soon as we can.

  • So operator, could we have the last caller, please?

  • Operator

  • Mr. Menon.

  • Janardan Menon - Analyst

  • Liberum.

  • Thanks for taking the question.

  • Just going back to the 7 and 10 nanometer nodes in the context of trends in immersion revenue, I think on this Q2 conference call, TSMC made a comment that they expect total wafer starts at 7 and 10 together to be lower than at 20 and 16.

  • Given that outlook if that were true and given that you have seen an increase in litho intensity clearly at 10 and potentially at 7 as well, would you expect that your foundry immersion or revenue trends would inflect at 10 nanometer and into 7 nanometer or given that there's also the situation where you are seeing some weakness in the smartphone market that you would see more as a continuation of the trends that you've seen in the last one or two years?

  • And I have some brief follow-up.

  • Peter Wennink - President & CEO

  • Thanks.

  • If you don't mind, I will abstain from commenting on the smartphone market because it's a bit too far away.

  • So we just listen to our customers.

  • The assumption that wafer capacity might be lower in let's say the 10/7 than in 2016, I think it's also something that we've assumed when we talked to last year, when we gave you an outlook until the end of the decade.

  • We have actually seen a 10% node afternoon to reduction of the wafer capacity needed is it has to do with you need to increase cost and especially the increase initial cost because the market for those customers that can afford that cost and create value with that cost, that market has a number of players.

  • All those players are very large but that market is of course smaller than we had 28 nanometer and above.

  • So that is an assumption that we also follow, however you mentioned it.

  • The litho intensity node by node goes up with about 40% and especially if we go to 10 and 7 we see very intense multiple patterning strategies.

  • It won't come limited to that.

  • Part of what we're going to help our customers by upgrading machines in the field, which will be an impetus for our service and field option sales and next to that when we look at the multiple patterning strategies and the number of layers that are affected, we also see upside in the number of units that they need from an immersion point of view.

  • Not everything in life is that rosy.

  • It means the costs will go up and complexities go up, so it will force customers to after this node to move into EUV.

  • I think it's inevitable.

  • So I don't think it has a major negative impact on us because of the reasons that I just mentioned.

  • I think immersion business will stay very healthy for years to come.

  • Janardan Menon - Analyst

  • Got it and just a very brief follow-up, a follow-up to Wolfgang.

  • You said that R&D spending on EUV and DUV is roughly similar.

  • When do you expect the DUV part to start falling off?

  • In your current planning, when would you start dropping that and sort of increased the EUV spend from your overall R&D point of view?

  • Wolfgang Nickl - EVP & CFO

  • Let me -- the framework at first.

  • We're just shy of EUR1.1 billion per year right now and our target is by 2020 at EUR10 billion revenue to be about 13%.

  • The so we are foreseeing a little bit of a growth there.

  • The split is a little bit less than half EUV and the other half is not only DUV but it's also holistic lithography and its fundamental research.

  • Fundamental research I would suggest will be flat to slightly.

  • Holistic lithography will go up year-over-year because there are a lot of additional value comes in through further software options.

  • And then DUV over time will go down.

  • We have just put major renovation in the 1980.

  • We will see one platform after that, so I don't think that you see a major inflection before the end of 2017, beginning 2018.

  • That summer ramp-up more in the EUV will be going to technologies that prolong the life of the EUV, such as high-NA that some of our customers are now starting to mention publicly as well.

  • So overall the shape will be overall R&D will grow at a slower slope than the revenues in the percentage will come down and somewhere in the 2017-2018 timeframe you will see EUV come a bit down and EUV extension and applications will go up in that piece of the pie.

  • Janardan Menon - Analyst

  • Got it, thank you very much.

  • Craig DeYoung - VP - IR Worldwide

  • With that, on behalf of the ASML Board of Management I would like to thank everybody for joining us today and I would also like to thank the operator and ask him if he would formally conclude the call please.

  • Thank you.

  • Operator

  • Ladies and gentlemen, this concludes the ASML third-quarter 2015 results conference call.

  • Thank you for participating.

  • You now may disconnect your line.