艾司摩爾 (ASML) 2018 Q3 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Ladies and gentlemen, thank you for standing by.

    女士們先生們,謝謝你們的支持。

  • Welcome to the ASML 2018 Third Quarter Financial Results Conference Call on October 17, 2018.

    歡迎參加 2018 年 10 月 17 日舉行的 ASML 2018 年第三季財務業績電話會議。

  • (Operator Instructions) I would now like to open the question-and-answer queue.

    (操作員說明)我現在想開啟問答隊列。

  • (Operator Instructions)

    (操作員說明)

  • I would now like to turn the conference call over to Mr. Skip Miller.

    我現在想將電話會議轉給斯基普·米勒先生。

  • Go ahead please, sir.

    請繼續,先生。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Thank you, operator.

    謝謝你,接線生。

  • Good afternoon.

    午安.

  • Good morning, ladies and gentlemen.

    早上好,女士們,先生們。

  • This is Skip Miller, Vice President of Investor Relations at ASML.

    我是斯基普·米勒 (Skip Miller),ASML 投資者關係副總裁。

  • Joining me today from ASML headquarters in Veldhoven in the Netherlands is ASML CEO, Peter Wennink; and our CFO, Roger Dassen.

    今天,ASML 執行長 Peter Wennink 在荷蘭 Veldhoven 的 ASML 總部與我會面。和我們的財務長羅傑·達森。

  • The subject of today's call is ASML's 2018 third quarter results.

    今天電話會議的主題是 ASML 2018 年第三季業績。

  • The length of this call will be 60 minutes, and questions will be taken in the order that they are received.

    本次電話會議時長為 60 分鐘,問題將依照收到的順序回答。

  • This call is also being broadcast live over the Internet at asml.com.

    此次電話會議也透過網路 asml.com 進行現場直播。

  • A transcript of management's opening remarks and a replay of the call will be available on our website shortly following the conclusion of this call.

    本次電話會議結束後不久,我們的網站上將提供管理層開場白和電話會議重播的記錄。

  • Before we begin, I'd like to caution listeners that comments made by management during this conference call will include forward-looking statements within the meaning of the federal securities law.

    在我們開始之前,我想提醒聽眾,管理層在本次電話會議上發表的評論將包括聯邦證券法含義內的前瞻性陳述。

  • These forward-looking statements involve material risks and uncertainties.

    這些前瞻性陳述涉及重大風險和不確定性。

  • For a discussion of risk factors, I encourage you to review the safe harbor statement contained in today's press release and presentation on our website at asml.com and in ASML's annual report on Form 20-F and other documents as filed with the Securities and Exchange Commission.

    對於風險因素的討論,我鼓勵您查看今天的新聞稿和我們網站 asml.com 上的簡報中包含的安全港聲明,以及 ASML 表格 20-F 年度報告以及向證券交易委員會提交的其他文件。委員會。

  • With that, I'd like to turn the call over to Peter Wennink for a brief introduction.

    說到這裡,我想將電話轉給 Peter Wennink,讓他做個簡短的介紹。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Thank you, Skip.

    謝謝你,斯基普。

  • Good morning and good afternoon, ladies and gentlemen.

    早安,下午好,女士們先生們。

  • And thank you for joining us for our Q3 2018 results conference call.

    感謝您參加我們的 2018 年第三季業績電話會議。

  • Before we begin the question-and-answer session, Roger and I would like to provide an overview and some commentary on the third quarter as well as provide our view of the coming quarters.

    在我們開始問答環節之前,羅傑和我想提供第三季度的概述和一些評論,並提供我們對未來幾季的看法。

  • Roger will start with a review of the third quarter financial performance and -- with some added comments on our short-term outlook.

    羅傑將首先回顧第三季的財務業績,並對我們的短期前景進行一些補充評論。

  • And I will complete the introduction with some additional comments on the current business environment and our future business outlook.

    我將透過對當前商業環境和我們未來商業前景的一些補充評論來完成介紹。

  • And Roger, if you will?

    羅傑,如果你願意的話?

  • R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

    R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Thank you, Peter, and welcome, everyone.

    謝謝你,彼得,歡迎大家。

  • I will first highlight some of the third quarter accomplishments and then provide our expectations for the fourth quarter of 2018.

    我將首先強調第三季的一些成就,然後提出我們對 ​​2018 年第四季的期望。

  • Q3 net sales came in at EUR 2.78 billion, which was towards the higher end of our expectation.

    第三季淨銷售額為 27.8 億歐元,接近我們預期的上限。

  • Net system sales of EUR 2.08 billion was a bit more weighted towards memory at 58%, with the remaining 42% from logic.

    20.8 億歐元的系統淨銷售額中記憶體的比重稍大一些,佔 58%,其餘 42% 來自邏輯。

  • EUV revenue of EUR 513 million was from 5 shipments.

    EUV 營收 5.13 億歐元來自 5 次出貨。

  • Installed Base Management sales for the quarter came in at EUR 695 million.

    本季已安裝基礎管理銷售額為 6.95 億歐元。

  • Gross margin for the quarter was 48.1%, just above our expectation, reflecting the strength of our Deep UV and Applications business as well as the progress in EUV profitability.

    本季毛利率為 48.1%,略高於我們的預期,反映了我們深紫外線和應用業務的實力以及 EUV 盈利能力的進步。

  • Overall, R&D and SG&A expenses basically came in as expected, with R&D expenses at EUR 397 million and SG&A expenses at EUR 122 million.

    整體而言,研發和SG&A費用基本上符合預期,研發費用為3.97億歐元,SG&A費用為1.22億歐元。

  • Turning to the balance sheet.

    轉向資產負債表。

  • EUR 362 million worth of shares were repurchased in Q3.

    第三季回購了價值 3.62 億歐元的股票。

  • This leaves around EUR 1.7 billion on the 2018/19 share buyback program remaining.

    這使得 2018/19 年股票回購計畫剩餘約 17 億歐元。

  • We ended last quarter with cash, cash equivalents and short-term investments at a level of EUR 2.95 billion.

    截至上季末,我們的現金、現金等價物和短期投資達到 29.5 億歐元。

  • Moving to the order book.

    轉到訂單簿。

  • Q3 system bookings came in at EUR 2.20 billion.

    第三季系統預訂額為 22 億歐元。

  • Memory order intake continued to be strong, 64% of total value.

    記憶體訂單量持續強勁,佔總價值的 64%。

  • Logic made up the remaining 36% of the bookings.

    Logic 佔剩餘預訂量的 36%。

  • We took 5 new EUV orders in the quarter, which contained a mix of both logic and memory.

    我們在本季收到了 5 個新的 EUV 訂單,其中包含邏輯和記憶體。

  • With that, I would like to turn to our expectations for the fourth quarter of 2018.

    接下來,我想談談我們對 2018 年第四季的預期。

  • We expect Q4 total net sales of about EUR 3 billion, leading us to expect another record year with close to EUR 11 billion of revenue.

    我們預計第四季總淨銷售額約為 30 億歐元,這使我們預計今年的營收將再創新高,接近 110 億歐元。

  • Our total net sales forecast for the quarter includes around EUR 500 million of EUV system revenue from 5 EUV systems.

    我們對本季總淨銷售額的預測包括來自 5 個 EUV 系統的 EUV 系統收入約 5 億歐元。

  • We currently expect to ship 6 systems in Q4, including 1 EUV system to a collaborative research center, imec, which will not be recorded in revenue but will be used to settle R&D services from imec.

    我們目前預計第四季將出貨 6 套系統,其中包括 1 套 EUV 系統到合作研究中心 IMEC,該系統不會計入收入,但將用於結算 IMEC 的研發服務。

  • Q4 will be our highest EUV shipment quarter to date, bringing the total to 18 systems in 2018.

    第四季將是我們迄今 EUV 出貨量最高的季度,使 2018 年系統總數達到 18 個。

  • Due to a combination of end-of-year production challenges and customer readiness, we now expect a couple of the originally planned 2018 ship -- systems to ship in early 2019.

    由於年終生產挑戰和客戶準備的綜合影響,我們現在預計一些原計劃 2018 年發貨的系統將於 2019 年初發貨。

  • We expect the EUV order flow to continue next quarter in which -- that we will basically have our 30 systems planned for 2019 covered by purchase orders by the end of this year.

    我們預計 EUV 訂單流將在下個季度繼續,到今年年底,我們的採購訂單基本上將涵蓋 2019 年計畫的 30 個系統。

  • We expect our Q4 Installed Base Management revenue to be similar to last quarter at around EUR 700 million.

    我們預計第四季的安裝基礎管理收入將與上季持平,約 7 億歐元。

  • Gross margin for Q4 is expected to be around 48%.

    第四季毛利率預計在48%左右。

  • Taking Q4 guidance into account, gross margin for the full year would be around 47%, which is a step-up from last year's 45% gross margin.

    考慮到第四季的指引,全年毛利率將在 47% 左右,比去年的 45% 毛利率有所提高。

  • This reflects the strength of our Deep UV and Applications business as well as continued progress in EUV profitability.

    這反映了我們深紫外線和應用業務的實力以及 EUV 獲利能力的持續進步。

  • The higher R&D expenses for Q4 of about EUR 420 million are due to an acceleration of the NXE:3400C road map and the High-NA EUV program.

    第四季研發費用增加約 4.2 億歐元,原因是 NXE:3400C 路線圖和 High-NA EUV 計畫的加速推進。

  • SG&A is expected to come in at about EUR 135 million.

    SG&A 預計約 1.35 億歐元。

  • We remain excited about 2018 as the customers' demand for our products continues to be strong.

    由於客戶對我們產品的需求持續強勁,我們對 2018 年仍然感到興奮。

  • We look forward to delivering another record year with continued strong growth in both sales and profitability.

    我們期待銷售額和獲利能力持續強勁成長,再創歷史新高。

  • With that, I'd like to turn the call back over to Peter.

    說到這裡,我想把電話轉回給彼得。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Thank you, Roger.

    謝謝你,羅傑。

  • As Roger highlighted, we had another good quarter, and we expect the fourth quarter to be even stronger.

    正如羅傑所強調的那樣,我們又度過了一個不錯的季度,我們預計第四季度會更加強勁。

  • With the current guidance, we expect that our sales for the year will be close to EUR 11 billion and that our profitability will improve over last year.

    根據目前的指導,我們預計今年的銷售額將接近 110 億歐元,獲利能力將比去年有所改善。

  • Now we continue to see strong demand for our products in both logic and memory as witnessed by our strong order book.

    現在,我們繼續看到對我們的邏輯和記憶體產品的強勁需求,正如我們強勁的訂單所證明的那樣。

  • Logic customers continue to ramp the 10-nanometer node and are also starting to ramp 7-nanometer.

    邏輯客戶持續提升 10 奈米節點,也開始提升 7 奈米節點。

  • As customers prepare the ramp of the 7-nanometer node, it's -- it not only drives EUV demand but also drives significant demand of increase for EUV.

    隨著客戶準備 7 奈米節點的量產,它不僅會推動 EUV 需求,還會推動 EUV 需求的大幅成長。

  • In DRAM, customers are continuing with technology migrations as well as adding wafer capacity additions to meet bit demand growth, evidenced by our strong third quarter order intake for memory.

    在 DRAM 領域,客戶正在繼續進行技術遷移,並增加晶圓產能以滿足位元需求的成長,我們第三季強勁的記憶體訂單量就證明了這一點。

  • Now we believe that the limited number of wafer capacity additions by a limited number of customers combined with a healthy demand for DRAM bits should not lead to a structural overcapacity in this industry segment.

    現在我們認為,有限數量的客戶增加的有限晶圓產能,加上對 DRAM 位的健康需求,不應導致該行業出現結構性產能過剩。

  • In NAND, significant 2D to 3D conversions have taken place next to investments in several greenfield fabs.

    在 NAND 領域,除了投資幾家新晶圓廠外,還發生了重大的 2D 到 3D 轉換。

  • This is likely creating a period of some digestion as we mentioned in prior quarters.

    正如我們在前幾個季度提到的,這可能會創造一段消化期。

  • With regards to China, we continue to see strong demand for a broad suite of our products.

    就中國而言,我們繼續看到對我們一系列產品的強勁需求。

  • The China region has delivered around 20% of our sales this year, is on track to set another record revenue number.

    中國地區今年的銷售額約占我們銷售額的 20%,預計再創收入紀錄。

  • This is driven by both multinational customers as well as domestic China customers.

    這是由跨國客戶和中國國內客戶所推動的。

  • And all 5 domestic customers that we discussed in prior quarters, at least -- so far with capacity in place now and are looking to begin the ramping next year.

    至少我們在前幾個季度討論過的所有 5 個國內客戶目前都已具備產能,並希望明年開始擴大產能。

  • We believe this region presents a significant growth opportunity under the assumption that these ramps of the domestic customers are successful and that more domestic customers will follow through with their investment plans.

    我們相信,假設國內客戶的這些成長是成功的,並且更多的國內客戶將遵循他們的投資計劃,該地區將呈現出巨大的成長機會。

  • On the ASML product side, let me start with an update on our EUV business.

    在ASML產品方面,讓我先介紹一下我們的EUV業務的最新情況。

  • In EUV, we continue to make good progress.

    在EUV方面,我們持續取得良好進展。

  • We have multiple NXE:3400C systems at customer sites that are running at 125 wafers per hour or higher and are ready for high-volume manufacturing.

    我們在客戶現場擁有多個 NXE:3400C 系統,運行速度為每小時 125 片晶圓或更高,並準備好進行大量生產。

  • Availability is progressing in support of customer volume ramp with a clear focus on machine consistency.

    可用性正在不斷提高,以支持客戶產量的成長,並明確關注機器的一致性。

  • The overall progress has led to the decision to accelerate our EUV road map.

    整體進展導致我們決定加快 EUV 路線圖。

  • And we are, as a result of this, now planning the introduction of our next-generation 0.33 NA EUV system called the NXE:3400C in the second half of 2019.

    因此,我們現在計劃在 2019 年下半年推出新一代 0.33 NA EUV 系統,稱為 NXE:3400C。

  • This system will deliver productivity of over 155 wafers per hour.

    系統每小時可生產超過 155 片晶圓。

  • But we will talk more about the performance specifications and the road map during our Investor Day next month.

    但我們將在下個月的投資者日期間更多地討論性能規格和路線圖。

  • As Roger mentioned, we continue to increase our shipments per quarter and plan to ship 6 systems in Q4, bringing the total to 18 systems in 2018.

    正如 Roger 所提到的,我們繼續增加每季的出貨量,並計劃在第四季出貨 6 套系統,使 2018 年系統總數達到 18 套。

  • As we mentioned in earlier calls, this year our production output is heavily back-end loaded, which has led to some production output challenges, combined with customer fab readiness logistics.

    正如我們在之前的電話會議中提到的,今年我們的生產輸出後端負荷很大,這導致了一些生產輸出挑戰,再加上客戶晶圓廠準備物流。

  • We now plan to ship a couple of systems originally planned in 2018 now in early 2019.

    我們現在計劃在 2019 年初交付原計劃於 2018 年推出的幾個系統。

  • Our shipment plan for 2019 remains at 30 systems as we now have an increased mix of the NXE:3400C systems in the second half of 2019, which will enable a significantly higher wafer output capability in the earlier specified 125 wafers per hour.

    我們2019 年的出貨計畫仍保持在30 個系統,因為我們現在在2019 年下半年增加了NXE:3400C 系統的組合,這將使先前指定的每小時125 片晶圓的晶圓產出能力顯著提高。

  • With this higher productivity, we expect that we'll be able to meet our customers' current EUV capacity plans in 2019.

    憑藉更高的生產力,我們預計將能夠在 2019 年滿足客戶目前的 EUV 產能計畫。

  • And as Roger mentioned, we expect order flow to continue next quarter, expect to have our 2019 demand for EUV will be covered by orders by the end of the year.

    正如 Roger 所提到的,我們預計下季訂單流將持續,預計到年底訂單將滿足我們 2019 年的 EUV 需求。

  • In Deep UV, on the introduction of the NXT:2000 system into the market is making significant progress and will be used in volume manufacturing for both memory and logic.

    在 Deep UV 領域,NXT:2000 系統在市場上的推出正在取得重大進展,並將用於記憶體和邏輯的大量製造。

  • We're also seeing significant demand for our dry products in support of a number of greenfield fab ramps in China and other regions.

    我們也看到對我們的乾產品的巨大需求,以支持中國和其他地區的許多新建晶圓廠的建設。

  • In our application business, we continue to see growth across our full portfolio of software and metrology products, notably related to the adoption of our

    在我們的應用業務中,我們的整個軟體和計量產品組合繼續成長,特別是與採用我們的

  • YieldStar 375 system expanding from logic and DRAM now also into 3D NAND manufacturing.

    YieldStar 375 系統現在從邏輯和 DRAM 擴展到 3D NAND 製造。

  • To summarize 2018, we expect the growth to continue from Q3 to Q4 to set us up for another record year in both sales and profitability.

    總結 2018 年,我們預計第三季至第四季將繼續成長,為我們的銷售額和獲利能力再創歷史新高。

  • Now regarding 2019, it's a bit too early to provide detailed guidance, but I will provide some qualitative comments regarding our initial views.

    現在關於 2019 年,現在提供詳細指導還為時過早,但我將就我們的初步觀點提供一些定性評論。

  • We continue to see strong demand for our products in both memory and logic in support of our bookings, and DEEP UV demand continues to be healthy in memory, as discussed earlier.

    我們繼續看到對我們的產品在內存和邏輯方面的強勁需求,以支持我們的預訂,並且正如前面所討論的,內存中的深紫外線需求仍然保持健康。

  • And we expect DEEP UV demand in logic to further strengthen in 2019, driven by the 10- and 7-nanometer ramps.

    我們預計,在 10 奈米和 7 奈米成長的推動下,2019 年深紫外線需求邏輯上將進一步增強。

  • Furthermore, we expect continued growth for our applications business with the expansion of both metrology as well as software products.

    此外,我們預計隨著計量和軟體產品的擴展,我們的應用業務將持續成長。

  • EUV demand continues to be driven by logic, but also with the clear opportunity in DRAM that we meet our availability and productivity targets.

    EUV 需求繼續由邏輯驅動,但 DRAM 也有明顯的機會,可以滿足我們的可用性和生產力目標。

  • EUV revenue growth is expected from both the significant increase in new shipments as well as a higher ASP of the NXE:3400C, which shipments are planned starting, as we said earlier, the second half of 2019.

    EUV 收入的成長預計來自於新出貨量的大幅增加以及 NXE:3400C 平均售價的提高,正如我們之前所說,NXE:3400C 計劃於 2019 年下半年開始出貨。

  • Furthermore, we expect customers to take advantage of system performance upgrades of their installed base to maximize capital efficiency.

    此外,我們希望客戶能夠利用其安裝基礎的系統效能升級來最大限度地提高資本效率。

  • Our current view of the overall business next year remains positive.

    我們目前對明年整體業務的看法仍然樂觀。

  • We expect the first half to be somewhat similar to the second half of this year, with business strengthening in the second half 2019.

    我們預計上半年將與今年下半年類似,2019 年下半年業務將會加強。

  • Our installed base will continue to grow, driving increased service revenue.

    我們的安裝基礎將持續成長,從而推動服務收入的增加。

  • Furthermore, we expect customers to take advantage of system performance upgrades of our installed base to maximize capital efficiency.

    此外,我們希望客戶能夠利用我們安裝基礎的系統效能升級來最大限度地提高資本效率。

  • Now putting this all together, we expect another year with good growth opportunity.

    現在把所有這些放在一起,我們預計明年會有良好的成長機會。

  • I think we're well on track to achieve our 2020 targets.

    我認為我們正在順利實現 2020 年的目標。

  • We have a significant growth potential beyond 2020, and we plan to communicate the size and the extent of this growth opportunity through 2025 in our Investor Day, which we will hold on November 8 this year.

    我們在 2020 年後擁有巨大的成長潛力,我們計劃在今年 11 月 8 日舉行的投資者日上傳達到 2025 年這一成長機會的規模和程度。

  • And with that, we will be happy to take your questions.

    因此,我們將很樂意回答您的問題。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Ladies and gentlemen, the operator will instruct you momentarily on the protocol for the Q&A session.

    女士們、先生們,接線生將立即向您介紹問答環節的流程。

  • (Operator Instructions) Now operator, can we have your final instructions and then the first question, please.

    (操作員說明)現在,操作員,請給我們最後的指示,然後是第一個問題。

  • Operator

    Operator

  • (Operator Instructions) The first question comes from Mr. Sreekrish Sankar.

    (操作員說明) 第一個問題來自Sreekrish Sankar 先生。

  • Sreekrishnan Sankarnarayanan - MD & Senior Research Analyst

    Sreekrishnan Sankarnarayanan - MD & Senior Research Analyst

  • Hello.

    你好。

  • can you hear me?

    你聽得到我嗎?

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • Yes.

    是的。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Loud and clear.

    響亮而清晰。

  • Sreekrishnan Sankarnarayanan - MD & Senior Research Analyst

    Sreekrishnan Sankarnarayanan - MD & Senior Research Analyst

  • Two of them.

    他們兩個人。

  • First one, Peter, looks like your demand from your memory customers has been very strong so far.

    第一個,彼得,到目前為止,您的記憶體客戶的需求似乎非常強烈。

  • And you also -- both in terms of bookings and sales and you're also guiding to have strength into 2019.

    你們也在預訂和銷售方面做出了努力,並指導我們在 2019 年保持強勁勢頭。

  • Just want to know, can you tell what's been going on in the memory industry with NAND, pricing, business and potential CapEx, plus in NAND and DRAM?

    只是想知道,您能透露一下內存行業的 NAND、定價、業務和潛在資本支出以及 NAND 和 DRAM 方面的最新情況嗎?

  • And how do you confirm that your numbers, and where do you see the strength in 2019?

    您如何確認您的數字?您認為 2019 年的優勢在哪裡?

  • Is it going to be DRAM or NAND in the first half?

    上半年是DRAM還是NAND?

  • And then I have a follow-up.

    然後我有一個後續行動。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Okay.

    好的。

  • Well, I think you're all throwing memory into one big heap.

    好吧,我認為你們都把內存扔進了一大堆。

  • But it is, of course, you need to separate, as you indicated, between NAND and DRAM.

    但當然,正如您所指出的,您需要區分 NAND 和 DRAM。

  • So let me talk about those separately.

    那我就分別來說說這些吧。

  • On DRAM, we haven't see CapEx [crest].

    在 DRAM 上,我們還沒有看到資本支出 [crest]。

  • We have seen, in this year, some pushouts but also pull-ins for different customers.

    今年我們看到了一些推出的情況,但也看到了不同客戶的吸引。

  • So it's -- you could argue it's customer-specific, but we haven't really seen a change in the DRAM memory demand for our products this year.

    所以,你可能會說這是特定於客戶的,但今年我們的產品對 DRAM 記憶體的需求並沒有真正看到變化。

  • And we don't see it also in 2019.

    2019 年我們也看不到這種情況。

  • Now we all seem to forget recent history, so let me talk about DRAM, yes, to put it all into perspective.

    現在我們似乎都忘記了最近的歷史,所以讓我談談 DRAM,是的,以正確地看待這一切。

  • Up to -- including 2016, there has been a significant conversion of DRAM into 3D NAND, which resulted in reduced DRAM capacity, which actually also led to a reduced DRAM memory spend of about 30% in litho and also a 10% to 50% reduction in the wafer capacity at our own customers.

    截至(包括 2016 年),DRAM 已大量轉換為 3D NAND,這導致 DRAM 容量減少,這實際上也導致 DRAM 內存光刻支出減少約 30%,也減少 10% 至 50%我們自己客戶的晶圓產能減少。

  • Now in 2017 and '18, customers have been working to recover this wafer capacity and to increase the bit supplies.

    現在,在 2017 年和 18 年,客戶一直在努力恢復晶圓產能並增加位元供應。

  • The bit demand also was higher than anticipated.

    鑽頭需求也高於預期。

  • So this required and needed a much higher litho spend per unit in growth.

    因此,這需要並且需要更高的每單位光刻支出的成長。

  • And it's due to a combination of increasing litho intensity at these new nodes due to, say, increasing number of critical layers, which includes double patterning now and the smaller of the shrink road map, which actually means you don't get the bits -- the same number of bits through shrink, that you get less, both leading to higher wafer capacity additions to --

    這是由於這些新節點的光刻強度不斷增加,例如關鍵層數量的增加,其中包括現在的雙重圖案和較小的收縮路線圖,這實際上意味著您無法獲得這些位元 - - 通過收縮獲得的位數相同,但獲得的位數較少,兩者都會導致晶圓產能增加 -

  • made this 20% to 25% demand in bit growth.

    使得這20%到25%的比特需求成長。

  • So this is what we have seen.

    這就是我們所看到的。

  • So in that context, the high investments in DRAM from our customers is not a surprise and is also what we are seeing in 2019.

    因此,在這種背景下,我們的客戶對 DRAM 的高投資並不令人意外,這也是我們在 2019 年看到的情況。

  • Now on 3D NAND, over the last several years, all the 2D to 3D conversions have taken place.

    現在在 3D NAND 上,在過去幾年裡,所有 2D 到 3D 的轉換都已經發生。

  • That actually happened.

    那確實發生了。

  • And those were very significant.

    這些都是非常重要的。

  • Now we have not participated in a lesser litho supply because we basically use the same litho and next to that were investments in greenfield fabs.

    現在我們沒有參與較少的光刻供應,因為我們基本上使用相同的光刻,其次是對綠地晶圓廠的投資。

  • And if you add those 2 together, is the 3D conversions and then the greenfield fabs.

    如果將這 2 個因素加在一起,就是 3D 轉換,然後是新建晶圓廠。

  • And that has indeed lapsed to a level of capacity.

    而這確實已經達到了一定的能力水準。

  • And don't forget, these are big fabs, so they're step-ups in capacity.

    不要忘記,這些都是大型晶圓廠,因此它們的產能正在不斷提高。

  • With that created weakness of the 3D NAND pricing, which we've all witnessed.

    這造成了 3D NAND 定價的疲軟,我們都見證了這一點。

  • That is the digestion that we go through as we speak.

    這就是我們說話時所經歷的消化過程。

  • And what you call -- and especially if you look at the number of greenfield fabs that have been opened and the capacity, the wafer capacity that's been added to the industry, it's quite normal.

    你所說的──特別是如果你看看已經開設的綠地晶圓廠的數量以及產能,也就是產業新增的晶圓產能,這是很正常的。

  • Don't forget that the growth range of 3D NAND are particularly good at 40% plus.

    不要忘記,3D NAND 的成長幅度特別好,達到 40% 以上。

  • So I think this is how we look at the market.

    所以我認為這就是我們看待市場的方式。

  • And this is why I also think that it's not a big surprise that our customers are still significantly spending on increased -- in wafer capacity both for DRAM.

    這就是為什麼我也認為我們的客戶仍在大幅增加 DRAM 晶圓產能的支出,這並不令人意外。

  • And to a lesser extent, today, 3D NAND.

    如今,在較小程度上,還有 3D NAND。

  • Sreekrishnan Sankarnarayanan - MD & Senior Research Analyst

    Sreekrishnan Sankarnarayanan - MD & Senior Research Analyst

  • Got it.

    知道了。

  • Got it.

    知道了。

  • Peter, that's very helpful.

    彼得,這非常有幫助。

  • And then just as a follow-up.

    然後作為後續行動。

  • If I look at your commentary on calendar first half '19 from the second half of this year and strengthening the second half of next year, the fact that DUV should be strong in memory and further in logic.

    如果我從今年下半年看你對19年上半年日曆的評論,並加強明年下半年的評論,就會發現DUV的記憶力和邏輯性都應該很強。

  • Is it fair to assume that DUV units next year should be higher than this year?

    假設明年的 DUV 單位應該高於今年是否公平?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Well, I think the DUV units will be at least at the same level as this year, whereby I think the mix, which was this year, will be skewed towards memory, will probably skew a bit more towards [budget], although it's too early to say which part of the industry sector is going to be the largest.

    好吧,我認為 DUV 裝置至少會與今年處於同一水平,因此我認為今年的組合將偏向記憶,可能會更偏向[預算],儘管它太偏向了。早說哪個行業部門將是最大的。

  • But in Deep UV, I think we'll see at least the same number of Deep UV shipments and sales in 2019 as in 2018.

    但在深紫外線領域,我認為 2019 年我們將看到至少與 2018 年相同數量的深紫外出貨量和銷售額。

  • Operator

    Operator

  • The next question comes from Mr. David Mulholland.

    下一個問題來自大衛·穆赫蘭先生。

  • David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

    David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

  • It's David from UBS.

    我是瑞銀集團的大衛。

  • Just combing through the comments you made on EUV and good to see the progress on the 3400C.

    梳理一下您對 EUV 的評論,很高興看到 3400C 的進展。

  • I just wanted to clarify a couple of things.

    我只是想澄清一些事情。

  • Firstly, of the bookings that you've seen in the quarter for EUV, are those still the 3400B?

    首先,您在本季看到的 EUV 預訂中,仍然是 3400B 嗎?

  • Or are you now booking the 3400C?

    還是您現在正在預訂 3400C?

  • And then as we look into 2020, I want a few comments just on what impact and the way that this is potentially slightly dampening the number of tools needed in H2 '19, what they might mean on 2020.

    然後,當我們展望 2020 年時,我想就這可能會稍微減少 19 年下半年所需工具數量的影響和方式發表一些評論,以及它們對 2020 年可能意味著什麼。

  • Obviously, we have assumed that some of that's made back on pricing.

    顯然,我們假設其中一些是透過定價得到的。

  • But where do you end up in kind of revenue expectations for 2020 from EUV as you kind of net those 2 higher productive systems for potentially higher value?

    但是,當您將這兩個更高生產力的系統淨值化以獲得潛在更高的價值時,您對 2020 年 EUV 的收入預期會是多少呢?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Okay.

    好的。

  • Good.

    好的。

  • Well, I think everything we are booking is 3400C.

    嗯,我想我們預訂的都是3400C。

  • I mean, we're not taking any orders for Bs because the only thing we will sell as of the middle of next year is Cs.

    我的意思是,我們不會接受任何 B 的訂單,因為到明年年中我們唯一會銷售的是 C。

  • And yes, there will be a higher potential productivity coming out of these systems, which of course will have an impact on the number of systems that customers potentially want if they look at their wafer capacity that they are planning for.

    是的,這些系統將會帶來更高的潛在生產力,如果客戶考慮他們正在規劃的晶圓產能,這當然會對客戶潛在想要的系統數量產生影響。

  • Now having said that, there's also a flip side for higher productivity and higher rev time, which is cost.

    話雖如此,更高的生產力和更長的轉速時間還有一個反面,那就是成本。

  • Cost is actually going down in these higher productivity tools.

    這些生產力更高的工具的成本實際上正在下降。

  • It actually means that it opens -- that's also a possibility to add one, in logic more or less; and two, in memory DRAM to start using EUV in DRAM.

    它實際上意味著它打開了——這也是添加一個的可能性,在邏輯上或多或少;第二,在記憶體 DRAM 中開始在 DRAM 中使用 EUV。

  • Generally, you could say if you have more than 2,000 wafers per day productivity on a DRAM system, it becomes attractive at -- to basically

    一般來說,您可以說,如果 DRAM 系統每天的生產率超過 2,000 片晶圓,那麼它就變得很有吸引力——基本上

  • start using EUV for several layers in DRAM.

    開始在 DRAM 的多個圖層上使用 EUV。

  • And that will drive the 2020 number.

    這將推動 2020 年的數字。

  • So -- and what's important for us is that we execute that's why we pulled the R&D in because we want the 3400C ASAP because it will, one, as you indicated, provide us with a higher value; and two, it will also provide a higher value, i.e., lower cost to our customers, which will drive the demand for EUV, which means that we still stick to our production capacity of 40 units in 2020.

    因此,對我們來說重要的是我們執行,這就是我們進行研發的原因,因為我們希望盡快推出3400C,因為它一方面,正如您所指出的,為我們提供更高的價值;另一方面,它能夠為我們提供更高的價值。第二,它也將為我們的客戶提供更高的價值,即更低的成本,這將帶動EUV的需求,這意味著我們仍然堅持2020年40台的產能。

  • And I think the final 2020 number will be a function of our successful introduction of the productivity and the availability metrics that we have currently in our targets.

    我認為 2020 年的最終數字將取決於我們成功引入當前目標中的生產力和可用性指標。

  • David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

    David Terence Mulholland - Director and Equity Research Analyst - Technology Hardware

  • Maybe one just quick follow-up.

    也許只是快速跟進。

  • You haven't commented as directly in numbers in terms of the progress and availability for EUV.

    您還沒有直接對 EUV 的進展和可用性進行數位評論。

  • Obviously, it seems like you're saying it's at the level you need for insertion with customers.

    顯然,您似乎是在說它已經達到了插入客戶所需的水平。

  • But in the last quarter, you were saying you had to get to over 90%.

    但在上個季度,您說必須達到 90% 以上。

  • Can you quantify where you are?

    你能量化你在哪裡嗎?

  • And where you're heading?

    你要去哪裡?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Yes.

    是的。

  • Yes.

    是的。

  • I think we're -- as we said, we want to have a target of 88% availability by the end of the year.

    我認為,正如我們所說,我們希望在今年年底前實現 88% 可用性的目標。

  • This is where we're heading to.

    這就是我們要去的地方。

  • I think with the 3400C, we will go over 90%.

    我認為使用 3400C,我們將超過 90%。

  • I think -- and we have a target of 92%.

    我認為——我們的目標是 92%。

  • I think what I said earlier, we need that 90% threshold.

    我想我之前說過,我們需要 90% 的門檻。

  • That's what we said in earlier calls.

    這就是我們在之前的電話中所說的。

  • It is our opinion today that with the current availability targets, customers will use EUV in HEM.

    今天我們認為,根據目前的可用性目標,客戶將在 HEM 中使用 EUV。

  • And it's very simple -- in logic, it's very simple because without EUV -- and I just refer to comments that was made by some of our customers, without EUV, it simply won't work.

    這非常簡單——從邏輯上講,它非常簡單,因為沒有 EUV——我只是參考了一些客戶的評論,沒有 EUV,它根本就行不通。

  • And that it's so much of a 7-nanometer demand or -- if you want or 7-plus and/or a 5-nanometer demand that you cannot escape using EUV.

    而且對 7 奈米的需求非常大,或者如果你想要 7+ 和/或 5 奈米的需求,你無法逃避使用 EUV。

  • They will use EUV at 88%.

    他們將使用 EUV 88%。

  • We'd love it to be higher.

    我們希望它更高。

  • And it will be higher, but that is not a make-or-break number.

    而且還會更高,但這不是一個決定成敗的數字。

  • Operator

    Operator

  • The next question comes from Mr. C.J. Muse.

    下一個問題來自C.J. Muse先生。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • I guess the question, if I could go back to your 2019 outlook for DUV.

    我猜想這個問題,我是否可以回顧一下您對 2019 年 DUV 的展望。

  • It sounds like you're now saying kind of first half similar to second half and already growth into the second half of '19.

    聽起來你現在說的上半年與下半年相似,並且已經發展到 19 年的下半年。

  • And just curious, is that a changed statement from your views 3, 6 months ago?

    只是好奇,這與您 3、6 個月前的觀點相比是否有所改變?

  • And if so, what has changed, I guess, vis-à-vis DRAM contribution, advanced logic in China?

    如果是這樣,我想中國在 DRAM 貢獻和先進邏輯方面發生了什麼變化?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Well, I think it has not changed.

    嗯,我認為它沒有改變。

  • I think it's going to -- one, we haven't qualitatively guided any trend for 2019 until today.

    我認為,第一,直到今天我們還沒有定性地指導 2019 年的任何趨勢。

  • So I think this is the first time.

    所以我認為這是第一次。

  • But for that, internally, of course, we have this outlook.

    但對此,我們內部當然有這樣的看法。

  • I don't think it has changed that much.

    我不認為它改變了那麼多。

  • Absolutely not.

    絕對不。

  • And in China, nothing changed in the sense that what Chinese customers were planning, let's say, this time a year ago on 2019, they're actually executing on.

    在中國,從中國客戶的計畫(比如說,一年前 2019 年的這個時候)實際執行的意義上來說,沒有任何變化。

  • So you could argue that their execution of their first lines and their pilot lines have actually gone well.

    所以你可以說他們的第一條生產線和試點生產線的執行其實進展順利。

  • So I think it's -- there's no change.

    所以我認為沒有改變。

  • I think it was -- no significant change, nor in memory nor in logic.

    我認為沒有重大變化,無論是記憶還是邏輯。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • Very helpful.

    很有幫助。

  • And I guess as my follow-up, can you talk to how you're expecting linearity of shipments for the 30 EUV tools in 2019?

    我想作為我的後續行動,您能談談您對 2019 年 30 個 EUV 工具的出貨量線性度的預期嗎?

  • And how we should think about the progression of gross margins in that same time frame?

    我們應該如何考慮同一時間範圍內毛利率的進展?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Yes.

    是的。

  • The linearity is what you would expect with a ramp.

    線性度就是您所期望的斜坡度。

  • I mean, this year, we -- and if you look at the quarter, we do 3, 4, 5, 6. And I think this is the kind of linearity that you would also expect next year whereby the 3400C, of course, is the model that customers would really like.

    我的意思是,今年,如果你看一下這個季度,我們會做 3、4、5、6。我認為這就是你明年也會期望的線性度,當然,3400C,是客戶真正喜歡的型號。

  • So you would clearly see also the second half will be the -- demands for that product going up.

    因此,您也可以清楚地看到下半年對該產品的需求將會上升。

  • Now on the -- Roger, on -- your turn.

    現在,羅傑,輪到你了。

  • R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

    R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • On gross margin, I think we have articulated a target of 40% there for 2020.

    在毛利率方面,我認為我們已經制定了 2020 年 40% 的目標。

  • I think we're on track to get there and I think, as we've mentioned before, 4 levers to get there.

    我認為我們正朝著實現這一目標的方向前進,而且正如我們之前提到的,我認為有 4 個槓桿可以實現這一目標。

  • The first lever, obviously, is ASP, higher ASP, which is to a very large extent, correlated with the productivity and the throughput of the machine.

    顯然,第一個槓桿是 ASP,更高的 ASP,這在很大程度上與機器的生產率和吞吐量有關。

  • So that's a major driver of the gross margin.

    所以這是毛利率的主要驅動因素。

  • Second, volume.

    第二,體積。

  • Fixed cost coverage obviously increases to the extent that volume of the ramps.

    固定成本覆蓋範圍明顯隨著坡道數量的增加而增加。

  • Third, learning curve.

    第三,學習曲線。

  • And we're already experiencing that, and we continue to experience that into the next couple of years.

    我們已經在經歷這一點,並且在接下來的幾年中我們將繼續經歷這一點。

  • And fourth, service.

    第四,服務。

  • Service revenue and service margin will go up as well.

    服務收入和服務利潤率也將上升。

  • And the combination of those 4 levers, we believe, gets us to the 40% target that we've articulated before for 2020.

    我們相信,這 4 個槓桿的結合可以讓我們實現先前設定的 2020 年 40% 的目標。

  • Operator

    Operator

  • The next question comes from Mr. Mitch Steves.

    下一個問題來自米奇·史蒂夫先生。

  • Mitchell Toshiro Steves - Analyst

    Mitchell Toshiro Steves - Analyst

  • Mitch Steves from RBC.

    來自加拿大皇家銀行的米奇史蒂夫斯。

  • I just had a quick question on EUV.

    我只是有一個關於 EUV 的簡單問題。

  • So basically, because you guys are off by about 2 units here in 2020 -- I'm sorry, 2018, you guys are still reguiding to 30.

    所以基本上,因為你們在 2020 年這裡偏離了大約 2 個單位——我很抱歉,2018 年,你們仍然在調整到 30 個單位。

  • Is the 40 units still the right number for 2020?

    40 台仍然是 2020 年的正確數字嗎?

  • And then second, I guess why doesn't the '19 number go up by 2 units?

    其次,我想為什麼 19 年的數字沒有增加 2 個單位?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Yes.

    是的。

  • I'm going to answer your last question.

    我要回答你的最後一個問題。

  • We're introducing, by the middle of the year, the 3400C, which has a productivity, which is over 155 wafers per hour, which is a significant improvement in terms of productivity, which means that customers are not planning systems.

    我們將在今年年中推出 3400C,其生產率超過每小時 155 片晶圓,這在生產力方面是一個重大改進,這意味著客戶無需規劃系統。

  • They are planning wafers.

    他們正在計劃晶圓。

  • So when you get more wafers out of a machine, then you might potentially use less machines.

    因此,當您從機器中取出更多晶圓時,您可能會使用更少的機器。

  • So that's why the 30 unit is still good when we -- and it's not more than that.

    這就是為什麼 30 台仍然很好,但僅此而已。

  • You could argue that the 2 units are then cannibalized by the higher productivity of the 3400C.

    您可能會爭辯說,這 2 個單元會被 3400C 更高的生產力所蠶食。

  • Okay.

    好的。

  • I mean, that's good because the 3400C is also a higher-value tool, we'll just price it higher.

    我的意思是,這很好,因為 3400C 也是一款價值更高的工具,我們只會將其定價更高。

  • So from a sales point of view, I think it's a good progression.

    因此,從銷售的角度來看,我認為這是一個很好的進步。

  • Of the 40 units -- I said it earlier in the previous answer, I think the 40 units is the capacity that we have.

    在 40 個單位中——我在先前的回答中已經說過,我認為 40 個單位是我們擁有的容量。

  • I think that is -- whether we will sell it all is really a function of the success with which we're going to introduce the 3400C, and we're able to start running up the availability of the machine over to 90%.

    我認為,我們是否會全部出售實際上取決於我們將推出 3400C 的成功與否,並且我們能夠開始將機器的可用性提高到 90% 以上。

  • That will drive down costs for our customers significantly.

    這將顯著降低我們客戶的成本。

  • And cost is the main driver for our customers to buy tools, yes?

    成本是我們客戶購買工具的主要動力,是嗎?

  • And I think the opportunity here is in the memory space, in the DRAM space.

    我認為這裡的機會是在記憶體領域,在 DRAM 領域。

  • And also somewhat in the logic space because there you can add a few more layers to EUV because the cost is just better.

    還有一些邏輯空間,因為你可以在 EUV 上添加更多層,因為成本更好。

  • And in DRAM, like I said, if we order 2,000 wafers per day, we come in the real where customers are really seeing the economic benefits of EUV application in DRAM.

    在 DRAM 領域,就像我說的,如果我們每天訂購 ​​2,000 片晶圓,我們就可以真正讓客戶真正看到 EUV 在 DRAM 中應用的經濟效益。

  • So for this capacity, let's go after it by executing on our 3400C program.

    因此,對於這個容量,我們透過在 3400C 程式上執行來實現。

  • Operator

    Operator

  • The next question comes from Mr. Andrew Gardiner.

    下一個問題來自安德魯·加德納先生。

  • Andrew Michael Gardiner - Director

    Andrew Michael Gardiner - Director

  • It's Andrew from Barclays.

    我是巴克萊銀行的安德魯。

  • I just got a few more quick ones on the EUV program.

    我剛剛獲得了一些有關 EUV 項目的快速資訊。

  • Firstly, Peter, you just mentioned it briefly there again, the question of layer count within logic.

    首先,Peter,您剛才又簡單地提到了邏輯中的層數問題。

  • If I go back to this time last year, we were talking about 10 layers at the 7-nanometer node.

    如果我回到去年的這個時候,我們談論的是 7 奈米節點的 10 層。

  • To your point, the improved productivity and specs on the 3400C suggest it's going to be higher than that.

    就您的觀點而言,3400C 提高的生產力和規格表明它會比這更高。

  • Can you give us any initial indication from your customers as to how much higher the layer count may be relative to that initial number of 10?

    您能否提供我們客戶的初步指示,說明層數相對於初始層數 10 可能高出多少?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Yes.

    是的。

  • I think it's really different numbers.

    我認為這確實是不同的數字。

  • But again, like I said earlier, I assume a successful execution of our 3400C specification targets.

    但正如我之前所說,我再次假設我們的 3400C 規範目標能夠成功執行。

  • And you could look at anywhere between 12 and 14.

    您可以查看 12 到 14 之間的任何位置。

  • Andrew Michael Gardiner - Director

    Andrew Michael Gardiner - Director

  • Okay.

    好的。

  • And then just as quick follow-ups on EUV.

    然後對 EUV 進行同樣快速的後續追蹤。

  • Can you give us some idea of mix between logic and memory in the 30-tool shipments next year?

    您能為我們介紹一下明年出貨的 30 種工具中邏輯和記憶體的混合情況嗎?

  • Clearly, again, if I go back a couple of quarters, logic was going to dominate but just sounding a bit more optimistic about DRAM demand.

    顯然,如果我回顧幾個季度,邏輯將佔據主導地位,但聽起來對 DRAM 需求更加樂觀。

  • And also last one for Roger.

    這也是羅傑的最後一篇。

  • Is there going to be any EUV deferred revenue left to recognize in 2019?

    2019 年是否還有 EUV 遞延收入需要確認?

  • Or is the rev rec next year purely on the 30-tool shipments?

    或者明年的轉速記錄純粹是基於 30 台工具的出貨量?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Yes.

    是的。

  • On -- I think the mix is predominantly logic.

    關於——我認為這種混合主要是邏輯。

  • But like I said, there is an opportunity there.

    但就像我說的,那裡有機會。

  • And of course, throughout 2019, when we see the first module results, the test results of the critical modules of the 3400C, we can probably engage with our customers at that time, the memory customers, who will see whether they would like an increased number of memory tools.

    當然,在整個 2019 年,當我們看到第一個模組結果,即 3400C 關鍵模組的測試結果時,我們可能可以與當時的客戶(內存客戶)進行接觸,他們將了解他們是否希望增加記憶工具的數量。

  • That is an opportunity, I would say, but it's predominantly logic.

    我想說,這是一個機會,但這主要是邏輯問題。

  • R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

    R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • In terms of revenue recognition, as you know, at this stage, the systems revenue gets recognized upon shipment.

    在收入確認方面,如您所知,現階段系統收入在出貨時確認。

  • And that will obviously continue for this model into 2019.

    這種情況顯然會持續到 2019 年。

  • The interaction of 3400C at this stage, again, we believe that we will recognize the revenue at shipment at this stage.

    3400C現階段的互動,我們相信現階段我們會在出貨時確認收入。

  • Operator

    Operator

  • The next question comes from Mr. Alex Duval.

    下一個問題來自 Alex Duval 先生。

  • Alexander Duval - Equity Analyst

    Alexander Duval - Equity Analyst

  • Alex Duval from Goldman Sachs.

    來自高盛的亞歷克斯·杜瓦爾。

  • Just a quick one on logic spending in 2019.

    只是簡單介紹一下 2019 年的邏輯支出。

  • You obviously talked about most memory and logic spending remaining on high levels in 2019.

    您顯然談到了 2019 年大多數內存和邏輯支出仍保持在高水平。

  • But you talked about DUV logic actually being up even though revenues were already on a high level.

    但您談到 DUV 邏輯實際上正在上升,儘管收入已經處於高水準。

  • So I wondered if you could just talk about what the key swing factors are that are driving that?

    所以我想知道您是否可以談談推動這一趨勢的關鍵搖擺因素是什麼?

  • And as a brief follow-up, you talked about the 2H weighted year for your overall group revenues in 2019.

    作為簡短的後續行動,您談到了 2019 年集團整體收入的 2H 加權年度。

  • And you just talked about a flattish half-on-half growth rate in the first half.

    您剛才談到上半年的成長率與去年同期持平。

  • So how should we be thinking about the step-up into the second half?

    那我們該如何考慮下半年的升級呢?

  • What is the key reason for that step-up?

    此次升級的主要原因是什麼?

  • And are we talking low single-digits growth half-on-half or something of great magnitude?

    我們談論的是低個位數成長還是大幅成長?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Okay.

    好的。

  • The logic spending in 2019 is up.

    2019年邏輯支出是上漲的。

  • But don't forget that means the majority of the spend this year was in memory.

    但不要忘記,這意味著今年的大部分支出都在記憶體中。

  • And logic will be ramping 10-nanometer in macro processes and 7-nanometer in the foundry space.

    邏輯在宏觀工藝中將逐步採用 10 奈米工藝,在代工領域將採用 7 奈米製程。

  • And that's happening because the -- when we listened to the customers, the tape outs are there, customer orders are there.

    發生這種情況是因為——當我們聽取客戶的意見時,磁帶就在那裡,客戶訂單就在那裡。

  • Now that will happen, and that will increase.

    現在這種情況將會發生,而且還會增加。

  • Now like I said earlier, that's why I think that the Deep UV business for 2019 will be at least as good as in 2018.

    正如我之前所說,這就是為什麼我認為 2019 年的深紫外線業務至少會與 2018 年一樣好。

  • But by -- a little bit more skewed towards logic.

    但是——有點偏向邏輯。

  • And it's driven by 7- and 10-nanometer.

    它由 7 奈米和 10 奈米驅動。

  • Now on the half-on-half, I said in earlier answer that our view as to 2019 and the, let's say, the shipment levels in the first half, second half haven't changed that much from where we were 1 or 2 quarters ago.

    現在,關於一半,我在之前的回答中說過,我們對 2019 年的看法,比如說​​上半年、下半年的出貨水準與一兩個季度相比沒有太大變化。

  • But effectively means that our customer plans, which is a result also of when their fabs are ready, when can they take the tools, yes, that hasn't changed that much.

    但有效意味著我們的客戶計劃,這也是他們的晶圓廠何時準備就緒、何時可以使用工具的結果,是的,這並沒有太大變化。

  • So I would say that half-on-half is more a function of when the customers need the tools.

    所以我想說,半對半更多的是客戶何時需要工具的函數。

  • So when do they ramp what?

    那他們什麼時候會增加什麼呢?

  • Then that's the main reason.

    那麼這就是主要原因。

  • So there's nothing magical behind it.

    所以這背後並沒有什麼神奇的。

  • There's no reason why there would be this particular cyclicality, if you want to call it this way.

    如果你想這樣稱呼它,那麼沒有理由會出現這種特殊的週期性。

  • Yes?

    是的?

  • No, I think it's just the way how customers plan.

    不,我認為這只是客戶計劃的方式。

  • And this means that the first half of 2019 will be somewhat the same as the second half of 2018, which was a pretty good half.

    這意味著2019年上半年將與2018年下半年大致相同,2018年下半年是相當不錯的。

  • So -- and any accelerations, you will see in the second half also at the EUV numbers will go up, yes.

    所以,任何加速,你都會在下半年看到 EUV 數字將會上升,是的。

  • And the 3400C will be there, but also the second half skewed.

    3400C 也會出現,但下半年也會出現偏差。

  • So that's probably the only answer I can give.

    所以這可能是我能給的唯一答案。

  • Operator

    Operator

  • The next question comes from Mr. Stephane Houri.

    下一個問題來自 Stephane Houri 先生。

  • Stephane Houri - Research Analyst

    Stephane Houri - Research Analyst

  • This is Stephane Houri from ODDO BHF.

    我是 ODDO BHF 的 Stephane Houri。

  • Actually, I have a question about the OpEx side because we saw a -- really, an increase in R&D.

    實際上,我對營運支出方面有一個疑問,因為我們看到了研發的成長。

  • And as you said, it's DRAM tool and your EUV tool.

    正如您所說,它是 DRAM 工具和 EUV 工具。

  • But the pace is accelerating throughout the year.

    但這速度全年都在加快。

  • We are now up 26% year-on-year on R&D.

    目前,我們的研發投入年增 26%。

  • Where do we go?

    我們要去哪裡?

  • And how do we model it for 2019?

    我們如何為 2019 年建模?

  • R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

    R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • I think we've said before also on the Q2 call, I think we've mentioned that we believe in the short term, there will be an uptick in R&D.

    我想我們之前也在第二季電話會議上說過,我們相信短期內研發將會增加。

  • And that uptick is to a very large extent or is uniquely related to 2 things.

    這種上昇在很大程度上或與兩件事有關。

  • It's the acceleration of the 0.33 EUV road map, as we mentioned before, the 3400C; and also the High-NA program acceleration.

    是0.33 EUV路線圖的加速,就是我們之前提到的3400C;以及高數值孔徑程序加速。

  • So that's why we said midterm, we expect that -- so we've said short term that will lead to an uptick of the numbers.

    這就是為什麼我們說中期,我們預計 - 所以我們說短期將導致數字上升。

  • We also said that medium term, we expect that to go back to the model that you've seen before and that we've given to you for 2020, which is 13%.

    我們也說過,從中期來看,我們預期會回到你們之前看到的、我們為你們提供的 2020 年模型,即 13%。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Sales.

    銷售量。

  • Yes.

    是的。

  • R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

    R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • Sales.

    銷售量。

  • Stephane Houri - Research Analyst

    Stephane Houri - Research Analyst

  • All right.

    好的。

  • And the follow-up is about metrology and inspection.

    後續是計量和檢驗。

  • You had a very good quarter this quarter.

    本季你們的季度表現非常好。

  • Is it a trend that we should push forward?

    這是我們應該推動的趨勢嗎?

  • Or is there -- was there anything special this quarter?

    或者這個季度有什麼特別的事情嗎?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • No.

    不。

  • I think it's just a trend that metrology and inspection will become more important that there's a couple of drivers there.

    我認為計量和檢驗將變得更加重要,因為那裡有幾個驅動因素,這只是一種趨勢。

  • I think the introduction of YieldStar 375 is a metrology system that is now not only being used in logic and in DRAM but now also is introduced into 3D NAND with very clear involvement just for our customers.

    我認為 YieldStar 375 的推出是一種計量系統,現在不僅用於邏輯和 DRAM,而且現在還被引入 3D NAND,並且非常明確地參與到我們的客戶中。

  • On top of that, we see good growth, very clear growth in HMI in the e-beam business.

    最重要的是,我們看到電子束業務中 HMI 的良好成長和非常明顯的成長。

  • We're planning to ship the first 3x3 multi-beam tool in 2019.

    我們計劃在 2019 年推出首款 3x3 多光束工具。

  • That will also help the top line.

    這也將有助於營收。

  • And there's a whole suite of software products that we're helping our customers deal with the complexities and intricacies of 7-nanometer and the 5-nanometer development nodes.

    我們有一整套軟體產品可以幫助我們的客戶應對 7 奈米和 5 奈米開發節點的複雜性。

  • So there's a whole suite of products that are actually helping our customers to basically deal with the increased cost of the NXT nodes.

    因此,有一整套產品實際上可以幫助我們的客戶從根本上應對 NXT 節點增加的成本。

  • And that's particularly helpful when you look at our metrology and inspection business.

    當您了解我們的計量和檢驗業務時,這尤其有幫助。

  • So it's a trend.

    所以這是一種趨勢。

  • Operator

    Operator

  • Next question comes from Mr. Mehdi Hosseini.

    下一個問題來自 Mehdi Hosseini 先生。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Mehdi Hosseini from SIG.

    SIG 的 Mehdi Hosseini。

  • Peter, I just want to go back to your comment about 2019, first half of '19 versus second half.

    彼得,我只想回到你對 2019 年的評論,即 19 年上半年與下半年。

  • And I appreciate the details and still the same view as a couple of quarters ago.

    我很欣賞這些細節,並且仍然與幾個季度前相同的觀點。

  • I'm just wondering, does that reflect the finalized CapEx plans by your key customers?

    我只是想知道,這是否反映了您的主要客戶最終確定的資本支出計劃?

  • Or if there's a change to those CapEx plans related this year or early next year that could either -- something that could have an impact on your view that has not yet materialized?

    或者,如果今年或明年初相關的資本支出計劃發生變化,可能會對您尚未實現的觀點產生影響?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Mehdi, what you're asking me is look at my crystal ball what the customer CapEx plans are going to be going forward.

    邁赫迪,你問我的是看看我的水晶球,客戶的資本支出計畫將如何發展。

  • I don't know.

    我不知道。

  • I mean, if they -- if they're going to change, they're going to change.

    我的意思是,如果他們——如果他們要改變,他們就會改變。

  • But there's nothing today that leads us to believe that they're going to do that.

    但今天沒有什麼能讓我們相信他們會這麼做。

  • Yes.

    是的。

  • When you look at their plans, it's about technology transition in logic.

    當你看他們的計劃時,你會發現這是關於邏輯上的技術轉型。

  • Trust me, it's going to happen, yes?

    相信我,這將會發生,是嗎?

  • If you now look at the DRAM expansion plans -- we have a limited number of customers, only 3, and 2 of them have some capacity expansion plans where the fabs are being built.

    如果你現在看看 DRAM 擴張計畫——我們的客戶數量有限,只有 3 個,其中 2 個在正在建造晶圓廠的地方有一些產能擴張計畫。

  • And you're long enough into this industry to understand that once you have the DRAM structure there, you're going to fill it up because it's the only way to cover your fixed cost is to bring out as many DRAM business you can in this new fab.

    你在這個行業待了足夠長的時間,就會明白,一旦你在那裡有了DRAM 結構,你就會把它填滿,因為這是覆蓋你的固定成本的唯一方法,就是在這個行業中盡可能多開展DRAM 業務。新工廠。

  • So these are all plans that are really cost installed, yes?

    所以這些都是真正以成本安裝的計劃,是嗎?

  • And whether they are going to cut or to slow down that ramp, I don't know.

    我不知道他們是否會削減或放慢坡道。

  • But the current plans are what they are, which means that the shipments that we're seeing in H1 and H2 that's been planned for some time now, they're still valid.

    但目前的計劃就是這樣,這意味著我們在上半年和下半年看到的出貨量已經計劃了一段時間,它們仍然有效。

  • And what changes in the future, I don't know.

    而未來會發生什麼變化,我不知道。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Sure.

    當然。

  • Thanks for the sincerity and the fact that your customer mix has increasingly consolidated does make it more challenging to forecast.

    感謝您的誠意,而且您的客戶組合日益整合這一事實確實使預測變得更具挑戰性。

  • Just moving on...

    只是繼續前進...

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Or it makes it easier.

    或者它會讓事情變得更容易。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Yes.

    是的。

  • Or your -- it's easier for you because your crystal ball is better than mine.

    或者你的——這對你來說更容易,因為你的水晶球比我的更好。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • That's absolutely true.

    這絕對是真的。

  • Mehdi Hosseini - Senior Analyst

    Mehdi Hosseini - Senior Analyst

  • Right.

    正確的。

  • One thing with EUV, I'm just very intrigued.

    我對 EUV 非常感興趣。

  • We started the year with a commentary that you could ship 22.

    我們以“你可以運送 22”的評論開始了這一年。

  • You see now it's down to 18.

    你看現在已經降到18了。

  • I appreciate the improved throughput with the 3400C coming out second half of next year.

    我很欣賞明年下半年推出的 3400C 所帶來的吞吐量提升。

  • But on the flip side, your customer mix has also consolidated.

    但另一方面,您的客戶組合也得到了鞏固。

  • One of the key foundries is no longer pursuing leading edge.

    主要代工廠之一不再追求領先優勢。

  • And the leading foundry is now the leading semiconductor manufacturer, and they're well ahead of others.

    領先的代工廠現在是領先的半導體製造商,而且他們遠遠領先其他公司。

  • And perhaps the DRAM industry is waiting for 3400C before they finalize their plans.

    也許 DRAM 產業正在等待 3400C,然後再敲定計畫。

  • And I'm just trying to better understand, when we dial in the 30-unit system into our expectation and 40 into 2020, what are the key wafer capacity targets that you're looking at?

    我只是想更好地理解,當我們將 30 台系統納入我們的預期並在 2020 年將 40 台系統納入我們的預期時,您所關注的關鍵晶圓產能目標是什麼?

  • You -- in the past, you've talked about certain foundry capacity for leading edge.

    您過去曾談到某些領先的代工能力。

  • Is there any metric that you could provide us so that we could have a more realistic set of expectation and if there is a change we know what are the key parameters that have changed?

    您是否可以向我們提供任何指標,以便我們能夠有一套更現實的期望,如果發生變化,我們知道哪些關鍵參數發生了變化?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Okay.

    好的。

  • Well, I think the more realistic expectation is the expectation that I gave you.

    嗯,我認為更現實的期望是我給你的期望。

  • Because I think it is realistic, yes?

    因為我認為這是現實的,對嗎?

  • And it has to do with the fact that yes, our customer base is consolidating, which in itself, generally leads to a better capital efficiency in the industry because every customer plans for winning business.

    這與我們的客戶群正在鞏固這一事實有關,這本身通常會帶來行業更好的資本效率,因為每個客戶都計劃贏得業務。

  • And if you have multiple customers all planning for the same business that -- yes, that might be a reason or that might be a very good reason why you ship a few more systems.

    如果您有多個客戶都計劃開展同一業務——是的,這可能是您運送更多系統的一個原因,或者可能是一個非常好的原因。

  • Now in this particular case, it's not the case because like you said, there's 1 -- a customer has stepped out of 7-nanometer from the foundries.

    現在,在這個特殊情況下,情況並非如此,因為就像您所說,有 1 個客戶已經從代工廠走出了 7 奈米。

  • And that base is now close to Taiwan.

    該基地現在靠近台灣。

  • But -- and the real question is, as you know, if that's a 7 plus or a 5-nanometer-type business, yes, what is the size of that 7-plus and 5-nanometer business for that customer?

    但是,如您所知,真正的問題是,如果這是 7+ 或 5 奈米類型的業務,那麼該客戶的 7+ 和 5 奈米業務的規模是多少?

  • And that is significant.

    這很重要。

  • I can only repeat what the CEO of that company said a couple of times.

    我只能重複那家公司執行長的話幾次。

  • 7-nanometer, 7-plus and 5 is going to be big.

    7 奈米、7+ 和 5 奈米將會變得更大。

  • And that's based on what their customers are telling them what they need in terms of wafer capacity.

    這是基於他們的客戶告訴他們他們對晶圓產能的需求。

  • Since they're the only one really in that space -- and we're not going to tell you anything about the plant wafer, capacity, you should ask them.

    因為他們是這個領域唯一真正的公司——而且我們不會告訴你任何有關工廠晶圓、產能的信息,你應該問他們。

  • That's not my role.

    那不是我的角色。

  • But I can tell you that this is a big driver for the 2019 EUV shipments, yes?

    但我可以告訴你,這是 2019 年 EUV 出貨量的一大推動力,對嗎?

  • And that's only for the foundry business.

    這僅適用於鑄造業務。

  • On top of that, you have the micro processes and you have the first start of some 5 production on DRAM.

    最重要的是,你已經有了微工藝,並且在 DRAM 上首次開始了 5 項生產。

  • If you add it all up, you see those plans and you see the road maps, then the 30 number we think is a realistic number.

    如果你把所有這些加起來,你會看到這些計劃和路線圖,那麼我們認為 30 個數字是一個現實的數字。

  • Now if there's some upside, hey, if the 3400C turns out to be -- is a very good tool and we'll figure it out in, of course, 2019 given our module testing in all level and ways, can we -- could we output 1 or 2 or 3 more eventually, but then let the customers decide, and I would think it's going to be in logic, that upside would probably be in memory and in DRAM.

    現在,如果有一些好處,嘿,如果事實證明 3400C 是一個非常好的工具,當然,考慮到我們在所有級別和方式上的模組測試,我們會在 2019 年弄清楚它,我們可以嗎?我們最終會輸出1、2 或3 個以上,但隨後讓客戶決定,我認為這將符合邏輯,這種優勢可能會出現在記憶體和DRAM 中。

  • So that's the situation today, and we're giving you clear guidance on the 30 units.

    這就是今天的情況,我們為您提供有關 30 個單位的明確指導。

  • That's really based on a realistic scenario as presented to us by our customers.

    這實際上是基於客戶向我們呈現的現實場景。

  • Operator

    Operator

  • The next question comes from Mr. Sandeep Deshpande.

    下一個問題來自 Sandeep Deshpande 先生。

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • JP Morgan.

    摩根大通。

  • Peter, my question is, I mean, I'm trying to understand what you've been saying about 2019.

    彼得,我的問題是,我的意思是,我正在努力理解你對 2019 年的看法。

  • Clearly, EUV is up to 30 tools is what you're guiding.

    顯然,EUV 是您所指導的多達 30 種工具。

  • Your metrology business is growing into next year.

    您的計量業務將在明年持續成長。

  • Your Installed Base Management business is growing into next year.

    您的安裝基礎管理業務將在明年持續成長。

  • So I don't think there are questions about that.

    所以我認為對此沒有任何疑問。

  • So the question is about the DUV business.

    所以問題是關於 DUV 業務的。

  • I mean, from what I'm hearing you're saying into -- in response to earlier questions that you're looking for a flattish trend.

    我的意思是,據我所知,您在回答之前的問題時說,您正在尋找平坦的趨勢。

  • And I mean that is dominated by the growth in logic and memory not that strong.

    我的意思是,這是由邏輯和記憶力的增長主導的,但沒有那麼強。

  • But I mean, you still have a flattish trend in DUV next year.

    但我的意思是,明年 DUV 的趨勢仍然持平。

  • I mean, I think everybody's estimates for your -- on revenue for ASML are wrong.

    我的意思是,我認為每個人對 ASML 收入的估計都是錯的。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Well, it's not my responsibility to come up with an estimate.

    好吧,提出估計不是我的責任。

  • But what I said at least means I see a bottom for our Deep UV business to be at least the same, yes?

    但我所說的至少意味著我看到我們的深紫外線業務的底部至少是相同的,是嗎?

  • Now Deep UV business has a lead time that is a bit shorter, so there are changes from time to time.

    現在Deep UV業務的交貨時間有點短,所以時不時會有變化。

  • So customers could still change for this second memory to -- of 2019 to go up.

    因此,客戶仍然可以將 2019 年的第二個記憶體更改為上升。

  • And I just called a bottom, which actually means that there could be upside.

    我剛剛稱之為底部,這實際上意味著可能有上漲空間。

  • And yes, and I would not be surprised if there would be.

    是的,如果有的話我不會感到驚訝。

  • But how big that upside would be?

    但這種上升空間有多大呢?

  • I don't know.

    我不知道。

  • So that is a bit where I have to stay qualitative and cannot speak quantitative.

    所以這就是我必須保持定性而不是定量的地方。

  • Yes?

    是的?

  • Sandeep Sudhir Deshpande - Research Analyst

    Sandeep Sudhir Deshpande - Research Analyst

  • Because, I mean, I'm just looking at the consensus ahead of today.

    因為,我的意思是,我只是專注在今天之前的共識。

  • The market is looking at about 7% revenue growth for ASML.

    市場預計 ASML 的營收成長約為 7%。

  • So are you suggesting -- because we know approximately from the other 3 line items where your growth would be for 2019.

    那麼您是在建議嗎?因為我們從其他 3 個項目中大致了解了您 2019 年的成長情況。

  • But if you have flat DUV, we are looking at well into double-digit growth.

    但如果 DUV 持平,我們預計將實現兩位數成長。

  • So would you say that you should -- you can potentially grow well into the double digits into 2019?

    那麼您是否認為您應該—您有可能在 2019 年實現兩位數的成長?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • I think you've done the math for us.

    我想你已經為我們算好了。

  • Operator

    Operator

  • The next question comes from Mr. John Pitzer.

    下一個問題來自 John Pitzer 先生。

  • John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

    John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

  • Yes.

    是的。

  • It's Credit Suisse.

    這是瑞士信貸。

  • Peter, you mentioned in your prepared comments that China's going to end up being about 20% of business in calendar year '18.

    Peter,您在準備好的評論中提到,中國最終將佔 18 日曆年業務的 20% 左右。

  • What's domestic China going to be this year?

    今年中國國內會怎樣?

  • And as you look out to your '19 forecast, is China domestic a breakout year in '19?

    當您展望 19 年的預測時,中國國內的 19 年會是突破性的一年嗎?

  • Or is it more in line with trend line growth?

    還是更符合趨勢線成長?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Well, I think what I said in my prepared comments that 5 of those domestic customers are now planning to ramp in 2019, which actually means that we see our business in 2019 from China also growing.

    嗯,我想我在準備好的評論中所說的,其中 5 個國內客戶現在計劃在 2019 年增加業務,這實際上意味著我們看到 2019 年來自中國的業務也在增長。

  • Now beyond that, I think everything -- and I said it also in the prepared comments, how big the growth will be also depends on how successful all those ramps are going to be because the first pilot lines have been installed and they're actually executing on their 2019 ramp plans.

    現在除此之外,我認為一切 - 我也在準備好的評論中說過,增長的幅度有多大還取決於所有這些坡道的成功程度,因為第一條試點線路已經安裝,它們實際上是執行2019 年斜坡計畫。

  • But as we all know, some of these companies are greenfield companies.

    但眾所周知,其中一些公司是綠地公司。

  • Are they all going to be as successful?

    他們都會一樣成功嗎?

  • We don't know.

    我們不知道。

  • But if they would be, and they're executing on their plans as we currently see it, then our business in China will be up next year.

    但如果他們願意,並且他們正在執行我們目前看到的計劃,那麼明年我們在中國的業務將會成長。

  • John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

    John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

  • And then Peter, my second question is just managing through the transition on EUV as you bring out these higher-NA more productive tools.

    Peter,我的第二個問題是,當您推出這些更高NA、更有效率的工具時,如何管理 EUV 的過渡。

  • You mentioned in an earlier question that you thought about few tools next year got cannibalized on productivity.

    您在先前的問題中提到,您認為明年很少有工具會影響生產力。

  • The 30 new EUV tools you have, what's it -- potentially at risk for further cannibalization?

    您擁有的 30 種新 EUV 工具是什麼?有可能面臨進一步蠶食的風險嗎?

  • Can customers future-proof?

    客戶能否面向未來?

  • Can you upgrade an EUV tool to a higher NA once you've installed it?

    安裝 EUV 工具後能否升級到更高的 NA?

  • Or is that not an option?

    或者這不是一個選擇?

  • And to the extent that, that 30 number does get cannibalized, should that just upside our 2020 number for you guys?

    如果 30 個數字確實被蠶食了,這是否會增加我們 2020 年的數字?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • No.

    不。

  • I think the -- you cannot upgrade to higher NA.

    我認為——你無法升級到更高的NA。

  • The higher NA, the High-NA EUV tool is a completely different tool, different dimension, so it doesn't work.

    NA越高,High-NA EUV工具是完全不同的工具,不同的尺寸,所以它不起作用。

  • But that you can upgrade from actually a 3350 to a 3400 if you would like to do that.

    但如果您願意,實際上可以從 3350 升級到 3400。

  • That is a big open heart surgery in the field.

    這是現場的大型心臟直視手術。

  • We -- that could happen in 2020.

    我們——這可能會在 2020 年發生。

  • We see some of those upgrades.

    我們看到了其中一些升級。

  • But I certainly would say that there is not much downside to further cannibalization than what we just said.

    但我當然會說,進一步蠶食並沒有比我們剛才所說的更多的負面影響。

  • I think there is some upside if the 3400C turns out to be quicker meeting the performance targets, then we could -- we were in if 1 or 2 or 3 more systems in 2019 going into 2020 as a start for higher adoption in the DRAM market.

    我認為,如果事實證明3400C 能夠更快地達到性能目標,那麼我們就可以——如果2019 年有1、2 或3 個以上的系統進入2020 年,作為DRAM 市場更高採用率的開始,我們就可以做到這一點。

  • But still, it's too early to speculate any further beyond the 30 units.

    不過,現在進一步推測 30 台以上的數量還為時過早。

  • I would certainly not speculate down.

    我當然不會猜下去。

  • I wouldn't speculate up yet.

    我還不會猜測。

  • But if there is a chance for a change, I would say, it depends on the performance of the C, especially all of those have the possibility in the DRAM space.

    但如果有改變的機會,我想說,這取決於 C 的性能,尤其是所有這些在 DRAM 領域都有可能。

  • Operator

    Operator

  • The next question comes from Mr. Amit Harchandani.

    下一個問題來自 Amit Harchandani 先生。

  • Amit B. Harchandani - VP and Analyst

    Amit B. Harchandani - VP and Analyst

  • Amit Harchandani from Citigroup.

    花旗集團的阿米特‧哈昌達尼 (Amit Harchandani)。

  • I really wanted to just circle back on a broader topic, Peter, if I could.

    如果可以的話,我真的想回到一個更廣泛的話題,彼得。

  • Let's just first talk about the trade wars that's going on right now and potential implications for supply chain.

    我們先來談談目前正在發生的貿易戰以及對供應鏈的潛在影響。

  • Could you maybe give us a sense of if you have done any assessment that you are likely to be impacted by the second tranche of tariffs?

    您能否告訴我們您是否做過任何可能受到第二批關稅影響的評估?

  • And if you see any need within your own supply chain to make any changes based on what's already been made public today.

    如果您認為自己的供應鏈內需要根據今天已經公開的內容進行任何更改。

  • Then I have a follow-up.

    然後我有一個後續行動。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • We're going to be pretty short on this.

    我們將對此進行簡短介紹。

  • We don't see any significant impact.

    我們沒有看到任何重大影響。

  • Not for our business, not for our supply chain either.

    不適合我們的業務,也不適合我們的供應鏈。

  • Amit B. Harchandani - VP and Analyst

    Amit B. Harchandani - VP and Analyst

  • Okay.

    好的。

  • And secondly, in terms of just us without trying to belabor too much on the point for the 30 tools.

    其次,就我們而言,沒有試圖對 30 種工具進行過多的闡述。

  • So you said that the capacity would be 30 tools, and then of course it depends on the output for the 3400C.

    所以你說容量是30把工具,當然取決於3400C的輸出。

  • So would it be fair to assume that in terms of the production output or the demand that your customers are seeing out there right now, it's as strong as it was 3 months ago?

    那麼,就產量或客戶目前看到的需求而言,是否可以公平地假設與 3 個月前一樣強勁?

  • If anything, it has gotten even stronger, which is why you were saying there's more likelihood of numbers being up than down.

    如果有什麼不同的話,那就是它變得更加強勁,這就是為什麼你說數字上升的可能性比下降的可能性更大。

  • Would that be a fair assessment to make?

    這是一個公平的評估嗎?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Yes.

    是的。

  • At first, there's a little correction, Amit.

    首先,有一點更正,阿米特。

  • Yes, the capacity is indeed around 30 systems.

    是的,容量確實在 30 個系統左右。

  • Now if you add the 2 so you could do a 32.

    現在,如果你加 2,那麼你就可以得到 32。

  • But what we're saying, we are shipping 30, which includes the 2 that they are shifting from 2018 because the wafer capacity that customers are needing that only because we have a higher productivity tool that -- yes, then that mean they need 30 units, 28 plus 2, yes?

    但我們所說的是,我們正在發貨30 個,其中包括他們從2018 年開始轉移的2 個,因為客戶需要的晶圓產能只是因為我們擁有更高的生產力工具,是的,這意味著他們需要30 個單位,28 加 2,是嗎?

  • So it's driven by the higher wafer capacity output that's coming out of the 3400C.

    因此,這是由 3400C 更高的晶圓產能輸出所推動的。

  • Now that actually means that there could be, from a manufacturing point of view, there's a few 1 or 2 or 3 upside that now would only materialize if we get our customers convinced that productivity of our EUV in 3400C number is also -- is good enough and is reliable enough to put them into an earlier production for memory, for DRAM in this space.

    現在,這實際上意味著,從製造的角度來看,只有當我們讓客戶相信我們的 EUV 在 3400C 下的生產率也很好時,才會實現一些 1、2 或 3 個優勢。足夠且可靠,可以將它們投入到該領域內存、DRAM 的早期生產中。

  • So I said it a couple of times.

    所以我說了幾次。

  • I hope it's clear now.

    我希望現在一切都清楚了。

  • So that -- this is why I said I don't think from a demand point of view that there is a big change.

    這就是為什麼我說從需求的角度來看我不認為有很大的改變。

  • What we said before is that when we looked at the overall demand for EUV, we did include, for instance, customers like GLOBALFOUNDRIES, which of course have fallen off.

    我們之前說過,當我們考慮EUV的整體需求時,我們確實包括了像GLOBALFOUNDRIES這樣的客戶,當然這些客戶已經下降了。

  • That could have driven the demand over 30 units.

    這可能會帶動 30 多個單位的需求。

  • Now they're not there anymore.

    現在他們已經不在了。

  • That is consolidated into one other customer.

    該客戶已合併到另一客戶。

  • So that's the only thing that probably changed.

    所以這可能是唯一改變的事情。

  • It's the consolidation in the industry, but it doesn't have an effect on our shipment plan.

    這是產業的整合,但對我們的出貨計畫沒有影響。

  • Amit B. Harchandani - VP and Analyst

    Amit B. Harchandani - VP and Analyst

  • And then just if I could very quickly ask, have you -- because you talked about the productivity of the 3400C, have you decided what level of markup in price with your -- price for 3400C over the 3400B?

    然後,如果我可以很快問,您是否 - 因為您談到了 3400C 的生產力,您是否已決定 3400C 相對於 3400B 的價格加價幅度是多少?

  • Or is that still to be fixed?

    還是說這個問題還有待解決?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Yes.

    是的。

  • That is still to be fixed.

    這還有待解決。

  • We are talking to a few customers on that final pricing.

    我們正在與一些客戶討論最終定價。

  • So let's not do the price negotiation over this conference call.

    所以我們不要在這次電話會議上進行價格談判。

  • We'll do that when we have this -- in the -- in the private rooms of the customers.

    當我們在客戶的私人房間裡有這個時,我們就會這樣做。

  • Operator

    Operator

  • The next question comes from Ms. Tammy Qiu.

    接下來的問題來自Tammy Qiu女士。

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • Tammy Qiu from Berenberg.

    來自貝倫貝格的 Tammy Qiu。

  • The first one is, Peter, you mentioned that next year, Deep UV spending is mainly skewed towards the logic foundry side.

    第一個是,Peter,你提到明年深紫外線支出主要偏向邏輯代工方面。

  • I'm just wondering because when logic foundry make a like TSMC move into a new generation, their reuses percentage can be as high as 95%.

    我只是想知道,因為當邏輯代工廠像台積電一樣進入新一代時,他們的重複使用率可以高達95%。

  • I'm just wondering to what extent you're actually reflecting high reusage in your estimation.

    我只是想知道您的估計實際上在多大程度上反映了高重用率。

  • And also, at the same time, would you say in your backlog of EUV shipment, has anyone already got full allocation of tools for ramping up next-generation 7-nanometer plus equivalent?

    同時,您是否會說,在您的 EUV 出貨積壓中,是否有人已經獲得了用於提升下一代 7 奈米及同等技術的工具的完整分配?

  • Or they are still ordering for that generation?

    還是他們仍在為那一代訂購?

  • And I have a short follow-up.

    我有一個簡短的後續行動。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • I think for anything, we don't use N7 this, let's say, 7-nanometer or N7.

    我認為對於任何事情,我們都不使用 N7,比如說 7 奈米或 N7。

  • There are still orders that we'll be taking.

    我們仍然會接受一些訂單。

  • So that's now easy.

    所以現在很容易了。

  • Reuse, you have to define reuse.

    重複使用,你必須定義重複使用。

  • What customers are mentioning when they talk about their reuse is that the existing installed base can be reused for the next node, yes?

    客戶在談論重用時提到的是現有的安裝基礎可以重複使用下一個節點,是嗎?

  • The next node needs more capacity.

    下一個節點需要更多容量。

  • So what we're looking at for next year for logic is through capacity additions, extra wafers out.

    因此,我們明年的邏輯目標是增加產能,生產額外的晶圓。

  • And when I said 2019, I didn't say it was mainly skewed towards logic.

    當我說2019年時,我並沒有說它主要偏向邏輯。

  • I said logic is going to increase in terms of its share in the Deep UV shipment as compared to 2018, yes?

    我說與 2018 年相比,Logic 在深紫外出貨量中的份額將會增加,是嗎?

  • Memory is still going to be strong, but logic is also going to be a higher component of Deep UV shipments than it was in 2018.

    記憶體仍然會很強勁,但邏輯在深紫外送貨量中所佔的比例也將比 2018 年更高。

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • Okay.

    好的。

  • And also, you mentioned last quarter that you are accelerating your R&D process for High-NA.

    此外,您上個季度提到您正在加快 High-NA 的研發。

  • I'm just wondering, has your accelerated R&D been impacting a number of layers EUV can be used by the time of High-NA is available?

    我只是想知道,在 High-NA 可用時,您的加速研發是否已經影響了可以使用的 EUV 層數?

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Yes.

    是的。

  • Sorry, could you repeat 2?

    抱歉,您能重複2次嗎?

  • Tammy Qiu - Analyst

    Tammy Qiu - Analyst

  • So basically, last quarter, you have been accelerating your R&D process for High-NA EUV tool, right?

    所以基本上,上個季度,你們一直在加速高數值孔徑 EUV 工具的研發進程,對吧?

  • So I'm just wondering, with your accelerated R&D process for High-NA, has chip makers been making decision about introducing EUV for more layers when High-NA is available because it's available...

    所以我只是想知道,隨著您對高數值孔徑的研發進程的加速,晶片製造商是否已經決定在高數值孔徑可用時引入 EUV 來實現更多層,因為它是可用的...

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Oh, okay, okay.

    噢,好吧,好吧。

  • Sorry.

    對不起。

  • Yes.

    是的。

  • I think what you will see going forward is that High-NA will be introduced in high-volume manufacturing by the middle of the next decade.

    我認為您未來將看到的是,到下一個十年中期,高數值孔徑將被引入大量生產。

  • Then you will see a very clear mix of 0.33, you can say, low-NA layers and the use of High-NA layers.

    然後你會看到 0.33 的非常清晰的混合,你可以說,低 NA 層和高 NA 層的使用。

  • They're going to be used next to each other.

    它們將彼此相鄰使用。

  • So High-NA is now going to cannibalize that much of the low NA, but they're going to address the additional critical layers of the N3 and the N2 nodes.

    因此,高 NA 現在將蠶食掉大部分低 NA,但它們將解決 N3 和 N2 節點的額外關鍵層。

  • So this is how it actually works.

    這就是它實際的工作原理。

  • So yes, on EUV, if you think about EUV in total, of course, there will be more layers allocated to EUV in a combination of low NA and High-NA.

    所以,是的,在 EUV 上,如果你從總體上考慮 EUV,當然,在低 NA 和高 NA 的組合中,將會有更多的層分配給 EUV。

  • Operator

    Operator

  • The next question comes from Mr. Adithya Metuku.

    下一個問題來自 Adithya Metuku 先生。

  • Adithya Satyanarayana Metuku - Associate

    Adithya Satyanarayana Metuku - Associate

  • It's Adi Metuku from Bank of America.

    我是美國銀行的阿迪梅圖庫。

  • I have 2 questions.

    我有 2 個問題。

  • Firstly, a clarification on the OpEx.

    首先,對營運支出進行澄清。

  • So obviously, your OpEx is ramping up a lot into 4Q.

    顯然,您的營運支出在第四季大幅增加。

  • And when we look at the run rate, quarterly run rate for 2019, should we assume that the 4Q run rate would be a reasonable number?

    當我們查看運行率、2019 年季度運行率時,我們是否應該假設第四季度的運行率是一個合理的數字?

  • Or do you think that'll start to trickle down as we go through 2019?

    或者你認為隨著 2019 年的到來,這種情況會開始逐漸減少嗎?

  • And secondly, just looking at 5-nanometer demand and how the ecosystem is developing.

    其次,只看 5 奈米需求以及生態系的發展。

  • I wondered if Peter, if you could comment a bit on how the ecosystem is progressing, especially from a pellicle and inspection tool viewpoint.

    我想知道彼得是否可以對生態系統的進展發表一些評論,特別是從薄膜和檢查工具的角度來看。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • Yes.

    是的。

  • I can take the last question and Roger can take your first question.

    我可以回答最後一個問題,羅傑可以回答你的第一個問題。

  • On the 5-nanometer development, that, if anything, is accelerating.

    就 5 奈米發展而言,如果有什麼不同的話,那就是正在加速。

  • And I think the issue with pellicle is a function of the effectivity, yes?

    我認為薄膜的問題是有效性的函數,是嗎?

  • So it's a -- the effectivity numbers and the effectivity control is increasing significantly.

    因此,有效性數字和有效性控制正在顯著增加。

  • So we have made a lot of progress this year together with our customers on the effectivity control.

    因此,今年我們與客戶一起在有效性控制方面取得了巨大進展。

  • And I think on the 5-nanometer node, the current use or the use of the current pellicles and the effectivity measures are sufficient to support 5-nanometer.

    而且我認為在5奈米節點上,目前的使用或目前的薄膜的使用以及有效的措施足以支援5奈米。

  • That's what we believe.

    這就是我們所相信的。

  • At 3-nanometer, which is a couple of years beyond that, we might want to look into whether we need additional inspection tools.

    在 3 奈米,也就是幾年後,我們可能想看看是否需要額外的檢查工具。

  • That is really depending on how successful we are in the effectivity control at the 7-plus and the 5-nanometer node, which looks to be very good.

    這實際上取決於我們在 7+ 和 5 奈米節點的有效性控制方面的成功程度,這看起來非常好。

  • So whether we need that inspection tool going forward is still a question that needs to be answered, then perhaps it's negative that we don't need it, that it depends on the progress that we will make on the effectivity with the current generations.

    因此,我們未來是否需要這種檢查工具仍然是一個需要回答的問題,那麼我們不需要它也許是消極的,因為這取決於我們在當前幾代人的有效性方面取得的進展。

  • R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

    R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • That's right.

    這是正確的。

  • So CapEx, it's, in essence, the same logic as we had for R&D, which is over time and particularly when you talk about acceleration that you can see a bit of an uptick.

    因此,資本支出本質上與我們研發的邏輯相同,隨著時間的推移,特別是當你談論加速時,你可以看到一點上升。

  • And you won't be surprised that CapEx, to a certain extent, correlates with R&D.

    資本支出在某種程度上與研發相關,您不會感到驚訝。

  • So with R&D going up, there is logic that certain CapEx goes up as well.

    因此,隨著研發的增加,某些資本支出也會增加,這是符合邏輯的。

  • So that will go hand-in-hand and that's something that we see in the short term.

    因此,這將齊頭並進,這是我們在短期內看到的。

  • Medium term and long term, you will once again see that's -- it models back to what we presented to you in our 2020 model, which is 4% of CapEx, 4% of sales would be assumed in the CapEx.

    從中期和長期來看,您將再次看到——它模型回到了我們在 2020 年模型中向您展示的模型,即資本支出的 4%,假設在資本支出中佔銷售額的 4%。

  • Adithya Satyanarayana Metuku - Associate

    Adithya Satyanarayana Metuku - Associate

  • Sorry, Roger, apologies for the CapEx.

    抱歉,羅傑,對資本支出表示歉意。

  • I meant OpEx.

    我的意思是營運支出。

  • So when I look at 4Q '18, OpEx as a proportion of revenues, obviously, when I take your guidance, it's -- you're seeing a pretty strong uptake.

    因此,當我查看 2018 年第四季度營運支出佔收入的比例時,顯然,當我接受您的指導時,您會看到相當強勁的成長。

  • And it's -- and the OpEx run rate is significantly higher than what consensus modeling for 2019.

    而且營運支出運作率明顯高於 2019 年的共識模型。

  • So I just wondered, you made a comment earlier on OpEx picking up short term, but coming down medium term.

    所以我只是想知道,您之前曾評論過營運支出短期內會上升,但中期會下降。

  • So as we go through 2019, should that -- when should we expect that uptick to come down?

    那麼,當我們進入 2019 年時,我們應該預期這種上升趨勢何時會下降?

  • When should we expect that downtick?

    我們什麼時候應該預期這種下降?

  • That's the question.

    這就是問題所在。

  • R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

    R. J. M. Dassen - Executive VP, CFO & Member of the Management Board

  • You can expect that downtick, and that's going back to the model that we presented to you, in the course of 2019 -- early in 2019.

    您可以預期這種下降,這又回到了我們在 2019 年期間(2019 年初)向您展示的模型。

  • So there are reasons for SG&A, in particular, because I think we need to distinguish here between SG&A and R&D.

    因此,SG&A 是有原因的,特別是,因為我認為我們需要在這裡區分 SG&A 和 R&D。

  • I mentioned to you R&D as it relates to SG&A, we see a bit of an uptick in what we expect for Q4.

    我向您提到了研發,因為它與銷售、管理費用有關,我們看到第四季度的預期有所上升。

  • You will see that come down to the 4% model that we guided for -- in the course of 2019.

    你會發現,2019 年,這一比例將下降至我們指導的 4% 模型。

  • Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

    Peter T. F. M. Wennink - President, CEO & Chairman of the Management Board

  • And a lot of that -- yes, I think you already answered it because as you know, we are driving the High-NA introduction and the 3400C, which will mean that we see an elevated level of R&D spending in 2019, which would actually -- would give a very clear indication in Q4 of what the levels could be.

    其中很多- 是的,我想您已經回答了這個問題,因為如您所知,我們正在推動高數值孔徑和3400C 的推出,這意味著我們在2019 年會看到研發支出水平的提高,這實際上將——將在第四季度非常明確地表明可能的水平。

  • But medium term, that will come down again.

    但中期來看,這數字將會再次下降。

  • And where will that be?

    那會在哪裡呢?

  • I think somewhere in the 2020, 2021 time frame, you will see that because that's when the peak of the High-NA program will have happened, yes?

    我認為在 2020 年、2021 年的某個時間範圍內,你會看到這一點,因為那時 High-NA 計劃的頂峰將會發生,對嗎?

  • And because I -- we are going to -- we're planning to ship High-NA starting 2022.

    因為我 - 我們打算 - 我們計劃從 2022 年開始發貨 High-NA。

  • So end of 2021, beginning of 2022.

    那麼2021年底,2022年初。

  • So that peak will be for the next 2 years, and then it will level off.

    因此,這個高峰將持續兩年,然後就會趨於平穩。

  • Skip Miller - VP of IR

    Skip Miller - VP of IR

  • All right.

    好的。

  • Before we sign off, we'd like to remind you that we'll be hosting our Investor Day here at our headquarters in Veldhoven on the afternoon of November 8. As the event is currently fully booked, we ask those that are -- have not already confirmed to please join us via webcast.

    在我們結束之前,我們想提醒您,我們將於 11 月 8 日下午在 Veldhoven 總部舉辦投資者日活動。由於該活動目前已訂滿,我們要求那些——尚未確認請透過網路廣播加入我們。

  • We will provide the webcast details in advance of the event.

    我們將在活動前提供網路直播的詳細資訊。

  • You can contact Investor Relations with any questions.

    如有任何疑問,您可以聯絡投資者關係部。

  • Now on behalf of the ASML board and management, I'd like to thank you all for joining us today.

    現在,我謹代表 ASML 董事會和管理階層感謝大家今天加入我們。

  • Operator, if you could formally conclude the call, I would appreciate it.

    接線員,如果您能正式結束通話,我將不勝感激。

  • Thank you.

    謝謝。

  • Operator

    Operator

  • Of course, sir.

    當然,先生。

  • Ladies and gentlemen, this concludes the ASML 2018 Third Quarter Financial Results Conference Call.

    女士們、先生們,ASML 2018 年第三季財務業績電話會議到此結束。

  • Thank you for participating.

    感謝您的參與。

  • You may now disconnect

    現在您可以斷開連接