新思科技 (SNPS) 2024 Q1 法說會逐字稿

內容摘要

Synopsys 高層討論了前瞻性聲明,包括即將收購 Ansys,強調了風險和不確定性。第一季財務業績顯示營收強勁成長,非公認會計準則每股收益成長。該公司的策略定位是實現長期成功,並專注於履行季度承諾。

普及智慧的市場趨勢帶來了成長機會,新思科技作為領先的晶片到系統設計解決方案公司處於有利地位。收購 Ansys 將增加成長機會。客戶對此次收購的回饋非常積極,並有可能進行更深入的合作和整合。

Synopsys 和 Ansys 在半導體產業的整合旨在為晶片設計創建更全面的解決方案,預計將帶來重大的交叉銷售機會和協同效應。

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Ladies and gentlemen, welcome to Synopsys Earnings Conference Call for the First Quarter Fiscal Year 2024. (Operator Instructions) Today's call will last 1 hour. As a reminder, today's call is being recorded.

    女士們、先生們,歡迎參加 Synopsys 2024 財年第一季財報電話會議。(操作員說明)今天的電話會議將持續 1 小時。提醒一下,今天的通話正在錄音。

  • At this time, I would like to turn the conference over to Trey Campbell, Senior Vice President of Investor Relations. Please go ahead.

    現在,我想將會議交給投資者關係高級副總裁 Trey Campbell。請繼續。

  • Trey Campbell

    Trey Campbell

  • Thank you, operator. Good afternoon, everyone. With us today are Sassine Ghazi, President and CEO; and Shelagh Glaser, CFO. Before we begin, I'd like to remind everyone that during the course of this conference call, Synopsys will discuss forecasts, targets and other forward-looking statements, including statements regarding our pending acquisition of Ansys. However, we will not be commenting on Ansys' financial results. While these forward-looking statements represent our best current judgment about future results and performance as of today, our actual results are subject to many risks and uncertainties that could cause actual results to differ materially from what we expect.

    謝謝你,接線生。大家下午好。今天與我們在一起的有總裁兼執行長 Sassine Ghazi;和財務長 Shelagh Glaser。在開始之前,我想提醒大家,在本次電話會議期間,Synopsys 將討論預測、目標和其他前瞻性聲明,包括有關我們即將收購 Ansys 的聲明。不過,我們不會對 Ansys 的財務表現發表評論。雖然這些前瞻性陳述代表了我們目前對未來績效和績效的最佳判斷,但我們的實際結果受到許多風險和不確定性的影響,可能導致實際結果與我們的預期有重大差異。

  • In addition to any risks that we highlight during this call, important factors that may affect such statements are described in our most recent SEC reports and today's earnings press release. In addition, we will refer to certain non-GAAP financial measures during the discussion. Reconciliations to their most directly comparable GAAP financial measures and supplemental financial information can be found in the earnings press release, financial supplement and 8-K that we released earlier today. All of these items, plus the most recent investor presentation are available on our website at www.synopsys.com. In addition, the prepared remarks will be posted on our website at the conclusion of the call.

    除了我們在本次電話會議中強調的任何風險之外,我們最新的 SEC 報告和今天的收益新聞稿中還描述了可能影響此類聲明的重要因素。此外,我們將在討論中提及某些非公認會計準則財務指標。與最直接可比較的 GAAP 財務指標和補充財務資訊的調整可以在我們今天早些時候發布的收益新聞稿、財務補充和 8-K 中找到。所有這些內容以及最新的投資者簡報均可在我們的網站 www.synopsys.com 上取得。此外,準備好的評論將在電話會議結束時發佈在我們的網站上。

  • With that, I'll turn the call over to Sassine.

    這樣,我會將電話轉給 Sassine。

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Good afternoon. In Q1, we continued our strong momentum with revenue in the upper end of our guidance range and non-GAAP EPS surpassing the upper end of our guidance range. Revenue was $1.65 billion, up 21% year-over-year. Non-GAAP operating margin was 38.7%, up approximately 3.5 points year-over-year. Non-GAAP EPS was $3.56, up 36% year-over-year. While maintaining our laser focus on meeting our quarterly financial commitments, we strategically drive the business for long-term financial success.

    午安.第一季度,我們繼續保持強勁勢頭,收入位於指導範圍的上限,非公認會計原則每股收益超過了指導範圍的上限。營收為 16.5 億美元,年增 21%。非 GAAP 營運利潤率為 38.7%,年增約 3.5 個百分點。非 GAAP 每股收益為 3.56 美元,較去年同期成長 36%。在持續專注於履行季度財務承諾的同時,我們從策略上推動業務取得長期財務成功。

  • Over the last 3 years, we have delivered a 17% revenue CAGR, non-GAAP operating margin improvement of 7 points and non-GAAP EPS growth at a 26% CAGR. Shelagh will discuss the financials and guidance in more detail.

    過去 3 年,我們的營收複合年增長率為 17%,非 GAAP 營業利潤率提高了 7 個百分點,非 GAAP 每股盈餘複合年增長率為 26%。 Shelagh 將更詳細地討論財務和指導。

  • Let's turn to market trends. We've entered an era of pervasive intelligence, driven by the rise of artificial intelligence, silicon proliferation and software-defined systems. These trends demand more compute, new architectures and new design methodologies, while requiring us to address the significant challenges of complexity, cost, energy consumption and security. Despite the mounting challenges, design starts continue to rise as the semiconductor industry scales to $1 trillion in revenue or more by the end of the decade.

    讓我們轉向市場趨勢。在人工智慧、晶片擴散和軟體定義系統興起的推動下,我們已經進入了一個智慧無所不在的時代。這些趨勢需要更多的運算、新的架構和新的設計方法,同時要求我們應對複雜性、成本、能耗和安全性等重大挑戰。儘管面臨的挑戰越來越多,但隨著半導體產業的收入到本世紀末將達到 1 兆美元或更多,設計啟動仍在繼續成長。

  • As the leading silicon-to-system design solution company with best-in-class EDA tools and the broadest portfolio of semiconductor IP, Synopsys' growth opportunity is truly incredible and already underway. Across industries, a paradigm shift is underway as companies race to deliver on this era of pervasive intelligence, where AI and smart technologies are omnipresent and interconnected.

    作為領先的晶片到系統設計解決方案公司,擁有一流的 EDA 工具和最廣泛的半導體 IP 產品組合,Synopsys 的成長機會確實令人難以置信,並且已經開始。隨著企業競相進入這個人工智慧和智慧技術無所不在且相互關聯的智慧時代,各行業正在發生典範轉移。

  • To capitalize on this shift, the technology industry is overcoming -- is converging on a silicon-to-systems approach to innovation. As the company at the heart of silicon and systems, Synopsys was made for this moment. There is no one more capable of helping companies innovate for this era of pervasive intelligence. Semiconductor companies are now designing with a system approach in mind, while system companies are unlocking additional value through purpose-built chips and software-defined systems. At the same time, customers see the fusion of electronics design and physics simulation as critical to delivering high-performing and high-yielding solutions for their business.

    為了利用這一轉變,技術產業正在克服困難——正在採用從晶片到系統的創新方法。作為晶片和系統核心公司,新思科技 (Synopsys) 正是為這一刻而生。在這個智慧無所不在的時代,沒有人比他更有能力幫助企業進行創新。半導體公司現在在設計時考慮了系統方法,而係統公司則透過專用晶片和軟體定義系統釋放附加價值。同時,客戶認為電子設計和物理模擬的整合對於為其業務提供高性能和高收益的解決方案至關重要。

  • Building on our 7-year partnership with Ansys, the industry leader in simulation and a deliberate multiyear strategy to reshape our business to support system-level design, last month, we announced our intent to acquire Ansys. This transaction will grow our TAM by 1.5x to $28 billion and further enhance our silicon to system strategy. Both across our core EDA segment and a highly attractive adjacent growth areas where Ansys has an established presence and successful go-to-market expertise. Customer feedback on the proposed transaction has been incredibly supportive, and we look forward to closing this transaction in the first half of 2025.

    基於我們與仿真行業領導者 Ansys 長達 7 年的合作關係以及重塑業務以支持系統級設計的深思熟慮的多年戰略,我們於上個月宣布了收購 Ansys 的意向。此次交易將使我們的 TAM 成長 1.5 倍,達到 280 億美元,並進一步增強我們的晶片到系統策略。在我們的核心 EDA 細分市場和極具吸引力的鄰近成長領域,Ansys 都在這些領域建立了穩固的地位並擁有成功的上市專業知識。客戶對擬議交易的回饋非常支持,我們期待在 2025 年上半年完成這筆交易。

  • Now I'll share some segment highlights starting with Design Automation, where we saw strong design win activity across the business. We continue to enhance our leadership in digital EDA as our capabilities become increasingly critical for the leading chips at advanced nodes. We are proud to have partnered with our customers to achieve a number of industry firsts in Q1: The world's first GAA-based next-generation ARM Cortex-X mobile core tape-out at a leading Asian mobile SoC provider, the first completed tape-out for a server SoC on 18A and Asia's first N5 ARM flagship automotive core tape-out for a leading EV OEM.

    現在,我將分享一些從設計自動化開始的細分市場亮點,我們在整個業務中看到了強勁的設計獲勝活動。隨著我們的能力對於先進節點的領先晶片變得越來越重要,我們將繼續增強我們在數位 EDA 領域的領導地位。我們很自豪能夠與客戶合作,在第一季度實現了多項行業第一:世界上第一個基於GAA 的下一代ARM Cortex-X 移動核心在亞洲領先的移動SoC 提供商流片,第一個完成流片的18A 的伺服器 SoC 以及亞洲首個 N5 ARM 旗艦車核心為領先的電動車 OEM 流片。

  • In addition, we had multiple competitive wins, anchored by 2-nanometer and 3-nanometer projects at a leading Asian mobile semiconductor company. We are also gaining momentum with analog/mixed signal customers. We won several competitive full flow displacements at analog/mixed signal companies including networking OEMs in Europe and Japan.

    此外,我們也憑藉一家亞洲領先行動半導體公司的 2 奈米和 3 奈米專案贏得了許多競賽勝利。我們也獲得了模擬/混合訊號客戶的支援。我們在類比/混合訊號公司(包括歐洲和日本的網路原始設備製造商)中贏得了多項具有競爭力的全流量排氣量。

  • A key differentiator in these competitive wins was the breadth and leadership of our EDA platform, from digital to analog and from architecture to sign off, all turbo charged with the industry's leading full-flow AI platform, Synopsys.ai. Synopsys.ai focuses on 3 distinct pillars of value for our customers: optimization, XSO.ai; data analytics, X.da; and generative AI, including our Copilot. Starting with our XSO.ai family, which includes design, verification, test and analog space optimization. We continue to expand our footprint and drive sell-up in our core EDA tools. DSO.ai was key in several major wins and continues to drive a 20% plus uplift to Fusion Compiler revenue at multiple accounts. Increasing share of usage of DSO.ai versus the competition was driven by superior PPA results on our platform versus alternatives.

    這些競爭優勢的關鍵差異在於我們 EDA 平台的廣度和領先地位,從數位到模擬,從架構到簽核,所有這些都由業界領先的全流程 AI 平台 Synopsys.ai 提供支援。 Synopsys.ai 專注於為客戶提供 3 個不同的價值支柱:最佳化、XSO.ai;數據分析,X.da;和生成人工智慧,包括我們的副駕駛。從我們的 XSO.ai 系列開始,其中包括設計、驗證、測試和模擬空間優化。我們繼續擴大我們的足跡並推動我們核心 EDA 工具的銷售。 DSO.ai 在幾項重大勝利中發揮了關鍵作用,並繼續推動多個客戶的 Fusion Compiler 收入增長 20% 以上。與競爭對手相比,DSO.ai 的使用份額不斷增加,這是由於我們的平台相對於其他平台的 PPA 結果更加出色。

  • We saw a very strong pull for VSO.ai with multiple production deployments that are seeing excellent improvements in test coverage and turnaround time. A large North American HPC semiconductor company made a significant investment in VSO.ai technology with plans to immediately deploy on 4 projects and eventually deploy corporate-wide.

    我們看到 VSO.ai 的多個生產部署具有非常強大的吸引力,這些部署在測試覆蓋率和周轉時間方面都取得了顯著的改進。北美一家大型 HPC 半導體公司對 VSO.ai 技術進行了大量投資,計劃立即在 4 個專案上部署,並最終在全公司範圍內部署。

  • Another large North American GPU company saw 2x faster turnaround time and a 20% improvement in coverage and is planning a large-scale deployment of the technology. Our analog AI tool, ASO.ai, now has multiple deployments moving to production with reference flows at TSMC, Samsung and Intel for analog migration. We also broadened the capability of TSO.ai, adding a design-for-test feature to the proven ability for advanced pattern generation.

    另一家大型北美 GPU 公司發現週轉時間加快了 2 倍,覆蓋範圍提高了 20%,並正在計劃大規模部署該技術。我們的模擬 AI 工具 ASO.ai 現在已在台積電、三星和英特爾的模擬遷移參考流程中進行了多次部署,並已轉向生產。我們也擴充了 TSO.ai 的功能,在經過驗證的進階模式產生功能中加入了測試設計功能。

  • At the International Test Conference this quarter, we demonstrated a 20% reduction in total pattern count using TSO.ai. Our data analytics AI products also saw a significant logo engagement growth. A great example is Silicon.da production analytics, which is part of the Silicon Lifecycle Management family and spans design through product manufacturing phases. Silicon.da automatically highlights silicon data outliers, enabling engineering teams to quickly identify and correct underlying issues in design and manufacturing and boost productivity.

    在本季的國際測試會議上,我們展示了使用 TSO.ai 將模式總數減少了 20%。我們的數據分析人工智慧產品的標誌參與度也顯著成長。 Silicon.da 生產分析就是一個很好的例子,它是 Silicon Lifecycle Management 系列的一部分,涵蓋設計到產品製造階段。 Silicon.da 會自動突出顯示晶片資料異常值,使工程團隊能夠快速識別和修正設計和製造中的潛在問題並提高生產力。

  • Last quarter, we had a groundbreaking generative AI announcement with Microsoft for accelerating chip design, Synopsys.ai Copilot. The integration of GenAI across Synopsys.ai provides chip designers with collaborative capabilities that offer expert tool guidance, generative capabilities to enable RTL and collateral creation from natural language.

    上個季度,我們與 Microsoft 合作發布了一項突破性的生成式 AI,用於加速晶片設計 Synopsys.ai Copilot。 GenAI 與 Synopsys.ai 的集成為晶片設計人員提供了協作功能,可提供專家工具指導和生成功能,以實現 RTL 和自然語言的附屬創建。

  • Following positive feedback from initial pilot participants, AMD, Intel and Microsoft will be adding a number of other companies with our beta rollout. In Q1, we also won significant multi-die package designs. Our 3DIC Compiler platform gained substantial momentum in multi-die packaging. Multi-die implementations continue to increase in the HPC market with an expectation that by 2028, 40% of HPC designs will be multi-die architectures. Like the transition to AI, this new design paradigm will create significant opportunity for both our EDA and IP businesses.

    根據最初試點參與者的正面回饋,AMD、英特爾和微軟將在我們的測試版推出中添加許多其他公司。在第一季度,我們還贏得了重要的多晶片封裝設計。我們的 3DIC 編譯器平台在多晶片封裝方面獲得了巨大的發展動力。 HPC 市場中的多晶片實施持續增加,預計到 2028 年,40% 的 HPC 設計將採用多晶片架構。與向人工智慧的過渡一樣,這種新的設計範式將為我們的 EDA 和 IP 業務創造重要機會。

  • Moving to our systems business. Hardware-assisted verification had a strong quarter with excellent bookings on ZeBu and HAPS, across multiple geos with 8 new hardware logos. We saw share expansion at a large Asian OEM on ZeBu 5 and grew our HAPS footprint at 2 top North American customers. In System Software, bookings momentum continued with key automotive OEMs and Tier 1s.

    轉向我們的系統業務。硬體輔助驗證在本季度表現強勁,在 ZeBu 和 HAPS 上的預訂量非常出色,跨越多個地理區域,並有 8 個新硬體徽標。我們看到一家大型亞洲 OEM 在 ZeBu 5 上的份額有所擴大,並擴大了我們在 2 個北美頂級客戶中的 HAPS 足跡。在系統軟體方面,主要汽車原始設備製造商和一級供應商的預訂勢頭持續強勁。

  • One example was the collaboration we announced with Continental. Integrating our industry-leading virtual prototyping solutions within Continental's Automotive Edge development framework, we're building the digital twin capabilities that allow automakers to accelerate their software development and improve their time to market.

    一個例子是我們宣布與大陸集團的合作。我們正在將業界領先的虛擬原型解決方案整合到大陸集團的汽車邊緣開發框架中,建立數位孿生功能,使汽車製造商能夠加快軟體開發並縮短上市時間。

  • Now moving to Design IP, which continues to deliver industry-leading growth as the IP supplier of choice for leading HPC, AI, automotive and mobile chips at advanced nodes. This quarter, we closed the multiyear, multi-node and multi-foundry agreements to enable the next-generation automotive and IoT platforms in a landmark design win at the major North American semiconductor company. A keystone IP in HPC and AI is PCIe 6.0, where we lead the industry with more than 50 lifetime wins. We demonstrated our next wave of innovation by showcasing our PCIe 7.0 technology at DesignCon 2024.

    現在轉向 Design IP,作為先進節點的領先 HPC、AI、汽車和行動晶片的首選 IP 供應商,該公司繼續實現業界領先的成長。本季度,我們完成了多年、多節點和多代工廠協議,以實現下一代汽車和物聯網平台,這家北美主要半導體公司取得了里程碑式的設計勝利。 PCIe 6.0 是 HPC 和 AI 領域的關鍵 IP,我們在該領域以 50 多個終身勝利引領產業。我們在 DesignCon 2024 上展示了 PCIe 7.0 技術,展示了我們的下一波創新。

  • Multi-die packaging is a significant tailwind to IP as well as EDA. We want 4 die-to-die IP engagements in the quarter surpassing 45 lifetime, enhancing our leadership in this emerging space. We proudly demonstrated the industry's first silicon success for UCIe S PHY IP in TSMC N3E and N5. The tight integration with our flagship EDA tool, 3DIC Compiler, is generating significant productivity gains with improved design margins.

    多晶片封裝是 IP 和 EDA 的重要推動力。我們希望本季有 4 個晶片到晶片的 IP 專案生命週期超過 45 個,從而增強我們在這一新興領域的領導地位。我們自豪地在 TSMC N3E 和 N5 中展示了業界首次成功實現 UCIe S PHY IP 矽片。與我們的旗艦 EDA 工具 3DIC Compiler 緊密整合,可顯著提高生產效率並提高設計利潤。

  • Finally, at the beginning of the quarter, we launched our new ARC-V RISC-V based portfolio with strong customer interest. The ARC-V processors are highly configurable and extensible to deliver optimal power performance efficiency for a broad range of applications such as automotive, storage and IoT.

    最後,在本季初,我們推出了基於 ARC-V RISC-V 的新產品組合,引起了客戶的濃厚興趣。 ARC-V 處理器具有高度可配置性和可擴充性,可為汽車、儲存和物聯網等廣泛應用提供最佳的電源效能效率。

  • Now to the Software Integrity segment, which delivered record revenue despite a challenging macroeconomic backdrop for enterprise software. We continue to evaluate strategic alternatives for this business, and we will provide an update when we complete this process. While the company engages in this process, the Software Integrity Group will continue to focus on investing and innovating in our market-leading products and serving customers with our leading application security testing portfolio and a global go-to-market execution.

    現在到軟體完整性部分,儘管企業軟體面臨著充滿挑戰的宏觀經濟背景,但該部門仍實現了創紀錄的收入。我們將繼續評估該業務的策略替代方案,並在完成此流程後提供最新資訊。在公司參與此過程的同時,軟體完整性集團將繼續專注於我們市場領先產品的投資和創新,並透過我們領先的應用程式安全測試產品組合和全球上市執行來為客戶提供服務。

  • In summary, we had an excellent start to the year, building on momentum underpinned by multiple secular growth drivers. We have a resilient business model and our customers continue to prioritize investments in the silicon and systems that position them for future growth. We are aligning our portfolio investment with the greatest return potential to accelerate our growth.

    總而言之,我們在多種長期成長動力的推動下,今年取得了良好的開局。我們擁有彈性的業務模式,我們的客戶繼續優先考慮對晶片和系統的投資,以實現未來的成長。我們正在根據最大的回報潛力調整我們的投資組合,以加速我們的成長。

  • Thank you to our employees partners and customers for their passion and commitment. Finally, we look forward to providing you more insight into our business, strategy and growth opportunities at our upcoming Investor Day, which will be held in conjunction with our Synopsys User's Group Event in Santa Clara on March 20. I hope to see many of you there.

    感謝我們的員工、合作夥伴和客戶的熱情和承諾。最後,我們期待在即將到來的投資者日為您提供有關我們業務、策略和成長機會的更多見解,該日將與3 月20 日在聖克拉拉舉行的Synopsys 用戶小組活動同時舉行。我希望見到你們中的許多人那裡。

  • With that, I'll turn it over to Shelagh.

    有了這個,我會把它交給 Shelagh。

  • Shelagh Glaser - CFO

    Shelagh Glaser - CFO

  • Thank you, Sassine. We delivered a solid start to the year with revenue in the upper end of our guided range, non-GAAP operating margin of 38.7% and non-GAAP earnings above the high end of our guidance range. Our Q1 results are driven by our execution and leadership position across our segments, robust design activity across semiconductor and systems customers and the stability and resilience of our time-based business model. We remain confident in our business, and as a result, we are reaffirming our full year 2024 targets for revenue and non-GAAP operating margin and raising our non-GAAP EPS guidance.

    謝謝你,薩辛。我們今年取得了良好的開局,收入位於指導範圍的上限,非 GAAP 營運利潤率為 38.7%,非 GAAP 收益高於我們的指導範圍的高端。我們第一季的業績是由我們在各細分市場的執行和領導地位、半導體和系統客戶的穩健設計活動以及我們基於時間的業務模型的穩定性和彈性所推動的。我們對我們的業務仍然充滿信心,因此,我們重申 2024 年全年收入和非 GAAP 營業利潤率目標,並提高了我們的非 GAAP 每股收益指引。

  • I'll now review our first quarter results. All comparisons are year-over-year unless otherwise stated. We generated total revenue of $1.65 billion. Total GAAP costs and expenses were $1.29 billion. Total non-GAAP costs and expenses were $1.01 billion, resulting in a non-GAAP operating margin of 38.7%. GAAP earnings per share were $2.89, and non-GAAP earnings per share were $3.56. Q1 included an extra fiscal week which contributed $70.5 million in revenue and $0.11 in non-GAAP EPS.

    我現在將回顧我們第一季的業績。除非另有說明,所有比較均為逐年比較。我們的總收入為 16.5 億美元。 GAAP 成本和開支總額為 12.9 億美元。非 GAAP 成本和費用總額為 10.1 億美元,非 GAAP 營業利潤率為 38.7%。 GAAP 每股收益為 2.89 美元,非 GAAP 每股收益為 3.56 美元。第一季包括一個額外的財週,貢獻了 7,050 萬美元的收入和 0.11 美元的非 GAAP 每股收益。

  • Now on to our segment. Design Automation segment revenue was $985.3 million, up 11%. Design Automation adjusted operating margin was 37%. Design IP segment revenue was $525.7 million, up 53%, driven by broad-based strength. Design IP adjusted operating margin was 47.5%. Software Integrity revenue was $138.2 million, up 8%, and adjusted operating margin was 17.3%. Operating cash outflow was $88 million for the quarter and we ended the quarter with cash and short-term investments of $1.27 billion.

    現在進入我們的部分。設計自動化部門營收為 9.853 億美元,成長 11%。 Design Automation 調整後營業利益率為 37%。受廣泛實力的推動,設計 IP 部門收入為 5.257 億美元,成長 53%。設計 IP 調整後的營業利益率為 47.5%。軟體完整性收入為 1.382 億美元,成長 8%,調整後營業利益率為 17.3%。本季的營運現金流為 8,800 萬美元,本季末我們的現金和短期投資為 12.7 億美元。

  • Now to guidance. For fiscal year 2024, the full year targets are: revenue of $6.57 billion to $6.63 billion. Total GAAP costs and expenses between $5.02 billion and $5.08 billion, total non-GAAP costs and expenses between $4.14 billion and $4.18 billion, resulting in non-GAAP operating margin improvement of roughly 2 percentage points. Non-GAAP tax rate of 15%, GAAP earnings of $9.56 to $9.74 per share. Non-GAAP earnings of $13.47 to $13.55 per share. Cash flow from operations of approximately $1.4 billion.

    現在來指導一下。 2024財年,全年目標為:營收65.7億美元至66.3億美元。 GAAP 成本和費用總額在 50.2 億美元至 50.8 億美元之間,非 GAAP 成本和費用總額在 41.4 億美元至 41.8 億美元之間,導致非 GAAP 營業利潤率提高約 2 個百分點。非 GAAP 稅率為 15%,GAAP 每股盈餘為 9.56 美元至 9.74 美元。非 GAAP 每股收益為 13.47 美元至 13.55 美元。營運現金流量約 14 億美元。

  • Now to targets for the second quarter. Revenue between $1.56 billion and $1.59 billion, total GAAP costs and expenses between $1.21 billion and $1.23 billion. Total non-GAAP costs and expenses between $1.01 billion and $1.02 billion, GAAP earnings of $2.05 to $2.16 per share and non-GAAP earnings of $3.09 to $3.14 per share. Our press release and financial supplement include additional targets and GAAP to non-GAAP reconciliation.

    現在討論第二季的目標。營收在 15.6 億美元至 15.9 億美元之間,GAAP 總成本和支出在 12.1 億美元至 12.3 億美元之間。非 GAAP 成本和費用總額在 10.1 億至 10.2 億美元之間,GAAP 每股收益為 2.05 至 2.16 美元,非 GAAP 每股收益為 3.09 至 3.14 美元。我們的新聞稿和財務補充包括額外目標以及 GAAP 與非 GAAP 調整。

  • In conclusion, we delivered a solid start to the year. We continue to execute and for the full year expect 12.4% to 13.5% revenue growth, non-GAAP operating margin improvement of roughly 2 percentage points and 20% to 21% non-GAAP EPS growth. Our confidence reflects our leadership position across our segments, robust design activity by our customers and the stability and resiliency of our time-based business model.

    總而言之,我們今年取得了良好的開局。我們繼續執行,預計全年營收成長 12.4% 至 13.5%,非 GAAP 營業利潤率提高約 2 個百分點,非 GAAP 每股收益成長 20% 至 21%。我們的信心反映了我們在各個細分市場的領導地位、客戶穩健的設計活動以及基於時間的業務模型的穩定性和彈性。

  • With that, I'll turn it over to the operator for questions.

    這樣,我會將其轉交給接線員詢問。

  • Operator

    Operator

  • (Operator Instructions) Our first question comes from the line of Gary with Wells Fargo Securities.

    (操作員指示)我們的第一個問題來自富國銀行證券公司的加里(Gary)。

  • Gary Wade Mobley - Senior Analyst

    Gary Wade Mobley - Senior Analyst

  • I think when you started or you initially gave fiscal year '24 guidance you were expecting like China to, I guess, be a drag on the overall revenue growth. But in the first quarter results, it looks like sales into China were modestly accretive to the overall growth. And so my question is, do you still anticipate some headwinds specific to China? And anything specific you wanted to call out there with respect to the dilution to the overall revenue trends?

    我認為,當您開始或最初提供 24 財年指導時,您預計中國會拖累整體收入成長。但從第一季的業績來看,中國的銷售似乎對整體成長略有促進。所以我的問題是,您是否仍然預期中國會遇到一些特定的阻力?關於整體收入趨勢的稀釋,您有什麼具體想要指出的嗎?

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Thank you, Gary, for the question. You are correct. When we guided FY '24, we called out 2 possible headwinds. One, the continued enterprise software slowness and the other one was around China, both the macro and the impact of the export control. That will continue in terms of our balanced view as we're looking at the year. And as we communicated as well, we believe that being pragmatic around the China growth as we look at FY '24 was important. Now as you saw in Q1, as you're calling out, strong Q1 that is due to some timing of our pull down of our business, be it in EDA or IP that can vary quarter-over-quarter but the remainder of the year is pretty much what we communicated when we guided the year.

    謝謝加里提出的問題。你是對的。當我們指導 24 財年時,我們指出了 2 個可能的不利因素。一是企業軟體持續緩慢,二是圍繞中國,宏觀和出口管制的影響。當我們展望這一年時,從我們的平衡觀點來看,這種情況將繼續存在。正如我們所溝通的那樣,我們認為,在展望 24 財年時,對中國成長保持務實態度非常重要。現在,正如您在第一季所看到的那樣,正如您所指出的,第一季的強勁表現是由於我們業務的某些時間點的下降,無論是EDA 還是IP,這些業務可能會逐季度變化,但今年剩餘時間會有所變化這幾乎是我們在今年指導時所傳達的訊息。

  • Gary Wade Mobley - Senior Analyst

    Gary Wade Mobley - Senior Analyst

  • Okay. Just a follow-up housekeeping question. What was the RPO balance at the end of the quarter? And it looks like the other income is coming in stronger than the original projection, including some upside in the first quarter. Shelagh, can you give some clarity on the source of that?

    好的。只是後續的內務問題。季度末的 RPO 餘額是多少?看起來其他收入比最初的預測要強,包括第一季的一些上漲。 Shelagh,你能澄清一下它的來源嗎?

  • Shelagh Glaser - CFO

    Shelagh Glaser - CFO

  • Yes. The backlog is $8.2 billion for the quarter. So we had obviously a record backlog in Q4 of $8.6 billion, and that's sort of the natural lumpiness of timing of big orders. So that was a pretty normal expectation. And then some of the goodness we saw in some of our other with some improvement in some ForEx. And so obviously, that can ebb and flow, but that's what we saw in Q1.

    是的。本季積壓訂單為 82 億美元。因此,我們第四季的積壓訂單顯然達到了創紀錄的 86 億美元,這就是大訂單時間安排的自然混亂。所以這是一個很正常的期望。然後我們在其他一些方面看到了一些優點,並在一些外匯方面取得了一些改進。顯然,這可能會潮起潮落,但這就是我們在第一季看到的情況。

  • Operator

    Operator

  • Our next question comes from the line of KeyBanc.

    我們的下一個問題來自 KeyBanc。

  • Jason Vincent Celino - Senior Research Analyst

    Jason Vincent Celino - Senior Research Analyst

  • This is Jason. Can you guys hear me?

    這是傑森。你們聽得到我說話嗎?

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Yes, Jason.

    是的,傑森。

  • Jason Vincent Celino - Senior Research Analyst

    Jason Vincent Celino - Senior Research Analyst

  • Perfect. Maybe building off of Gary's question, the backlog, $8.2 billion, really nice to see in the high teens year-over-year growth again. I get it lumpy coming off a record, but it was down a little more sequentially than what we've seen typically from Q4s to Q1s. Is there any way to think about the linearity through the year, first half, second half or renewal timing? Just trying to understand the shape.

    完美的。也許是在加里的問題的基礎上,積壓的訂單達到了 82 億美元,很高興再次看到同比增長。我發現它從創紀錄的情況來看是不穩定的,但它比我們通常從第四季度到第一季看到的連續下降要多一些。有沒有辦法考慮全年、上半年、下半年或更新時間的線性?只是想了解形狀。

  • Shelagh Glaser - CFO

    Shelagh Glaser - CFO

  • Yes. I wouldn't say there's anything unusual about it. I mean, year-over-year, we're up about 19%. So we kind of think about managing these things on a yearly increment because you think about timing of renewals and things like that, which usually we think about over the course of 12 months. So there's nothing really specific about the Q4 to Q1 other than I would point out that Q4 was an all-time high. So in some senses, that all-time high. We'll go through sort of the natural pull down and then replenishment of the backlog as we drive renewals and expansion.

    是的。我不會說這有什麼不尋常的。我的意思是,我們同比增長了約 19%。因此,我們會考慮以每年的增量來管理這些事情,因為你會考慮續訂的時間和類似的事情,我們通常會在 12 個月的時間內考慮這些事情。因此,除了我要指出第四季是歷史最高點之外,第四季到第一季沒有什麼特別的地方。所以從某種意義上來說,這是歷史最高水準。當我們推動更新和擴張時,我們將經歷積壓訂單的自然減少和補充。

  • Jason Vincent Celino - Senior Research Analyst

    Jason Vincent Celino - Senior Research Analyst

  • Okay. Perfect. And then on the IP side, again, really strong quarter, 50% growth. I know you mentioned a lot of new IP titles that are coming out or have come out. I guess, what was the main driver of the strength in IP? And then how should we think about that trend through the rest of the year on IP?

    好的。完美的。然後在 IP 方面,季度成長非常強勁,成長了 50%。我知道你提到了很多即將推出或已經推出的新 IP 作品。我想,IP實力的主要驅動力是什麼?那麼我們該如何看待今年剩餘時間知識產權方面的這一趨勢呢?

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Yes. Thanks, Jason. As far as IP goes, the beauty of what we have in our IP business, given it's an interface IP, more silicon proliferation, more chip starts, they need IP to connect the chip, inside the chip, the different blocks to each other and connect the chip to the outside world, and this is where we lead with our IP business. Now that being said, there are constant new standards that are being delivered in order to support the complexity and the performance requirements for be it an AI chip or any chip that goes into data center, et cetera.

    是的。謝謝,傑森。就 IP 而言,我們 IP 業務的美妙之處在於,它是一個介面 IP,更多的矽擴散,更多的晶片啟動,他們需要 IP 來連接晶片、晶片內部、不同的塊彼此以及將晶片與外界連結起來,這就是我們IP業務的領先之處。話雖如此,為了支援人工智慧晶片或任何進入資料中心的晶片等的複雜性和效能要求,不斷有新的標準被推出。

  • Multi-die is another factor. The moment you start stacking dies together in a package, you need more and more interface IP to connect that multi-die package together. So those were the factors that were driving the IP opportunity, and we are very confident that this secular trend with IP demand will continue as long as there is more demand for silicon and more sophisticated silicon.

    多晶片是另一個因素。當您開始將晶片堆疊在一個封裝中時,您需要越來越多的介面 IP 來將多晶片封裝連接在一起。因此,這些都是推動 IP 機會的因素,我們非常有信心,只要對矽和更複雜的矽有更多的需求,這種 IP 需求的長期趨勢就會持續下去。

  • Shelagh Glaser - CFO

    Shelagh Glaser - CFO

  • Yes. And Jason, I would just add the shape of the year is a bit opposite from last year. Last year, we were very back-end loaded, as you recall. And this year, we're a little bit more towards the front of the year. And that's really driven, as we always talk about lumpy in IP because we're building those new standards that Sassine talked about every day. But then when the customers need it to ingest into their design, that's when we get those big pull downs.

    是的。傑森,我想補充一點,今年的形狀與去年有點相反。正如您所記得的那樣,去年我們的後端負載非常大。今年,我們離今年的前面更近了一些。這確實是驅動力,因為我們總是談論知識產權的塊狀,因為我們正在製定 Sassine 每天談論的那些新標準。但當客戶需要將其融入他們的設計中時,我們就會遇到很大的困難。

  • Operator

    Operator

  • Our next question comes from the line of Jay with Griffin Securities.

    我們的下一個問題來自傑伊與格里芬證券的對話。

  • Jay Vleeschhouwer - MD of Software Research

    Jay Vleeschhouwer - MD of Software Research

  • So I'm seeing with the Ansys acquisition, you are, of course, pursuing the largest convergence event in the industry. But the question is, in the meantime, could you talk about the kind of internal resources or investments you were making anyway as you await the transaction in terms of new technologies, new methodologies to effectuate conversions even before the Ansys transaction closes, particularly for the target markets that is motivating the acquisition, such as aero, auto and industrial.

    因此,我認為透過收購 Ansys,您當然是在追求業界最大的融合活動。但問題是,同時,您能否談談您在等待交易時所進行的內部資源或投資類型,甚至在 Ansys 交易結束之前就可以實現轉換的新技術、新方法,特別是對於推動收購的目標市場,例如航空、汽車和工業。

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Yes. Excellent question, Jay. So I want to break it into 2 areas of investment. One in the core EDA, not only with multi-die 3DIC but even if you have a single die in a package, a homogeneous chip, when we started the collaboration with Ansys in 2017, the intention of that collaboration was to bring an industry leader sign-off technology to our design implementation portfolio. That did not mean we stopped investing in our own organic implementation portfolio because you need to integrate some key engines in order to correlate with the industry sign-off.

    是的。很好的問題,傑伊。所以我想把它分成兩個投資領域。核心 EDA 中的一個,不僅具有多晶片 3DIC,而且即使封裝中有單個晶片、同質晶片,當我們在 2017 年開始與 Ansys 合作時,該合作的目的是帶來行業領導者將技術簽核到在我們的設計實施組合中。這並不意味著我們停止投資我們自己的有機實施組合,因為您需要整合一些關鍵引擎才能與行業簽署相關聯。

  • As the complexity since 2017 grew, our investments organically expanded in order to have engines sitting inside our Fusion Design Platform to correlate with the broadened touch points we created with Ansys from a simulation and sign-off standpoint. So that will continue in order to serve our customers deliver to a solution that they are looking in order to design and develop their products. So that's one bucket of investment.

    隨著 2017 年以來複雜性的增加,我們的投資有機地擴展,以便讓我們的融合設計平台中的引擎能夠與我們從仿真和簽核的角度使用 Ansys 創建的更廣泛的接觸點相關聯。因此,我們將繼續為我們的客戶提供他們正在尋找的解決方案,以設計和開發他們的產品。這就是一桶投資。

  • The second one, as we started expanding into new markets, automotive, driving our systems aspiration, the investment there was not only from the product side, was go-to-market investment as well in order to expand and call into a new set of customers like the automotive OEMs and other. And this is where we called out a month or so ago when we announced the Ansys acquisition that Ansys will bring in an acceleration of knowledge into that new market segments that they have an experience and brand selling into those markets. So those are the investments, both on the R&D side and the go-to-market side that we will continue on making until we closed the agreement and we start talking integration at the time.

    第二個,當我們開始擴展到新市場,汽車,推動我們的系統願望時,那裡的投資不僅來自產品方面,而且是進入市場的投資,以便擴展和引入一系列新的汽車原始設備製造商等客戶。大約一個月前,當我們宣布收購 Ansys 時,我們提出了這一點:Ansys 將加速將知識帶入新的細分市場,因為他們在這些市場上擁有豐富的經驗和品牌銷售經驗。這些就是我們將繼續在研發方面和進入市場方面進行的投資,直到我們完成協議並開始討論整合。

  • Jay Vleeschhouwer - MD of Software Research

    Jay Vleeschhouwer - MD of Software Research

  • Okay. For the follow-up, one of the things that distinguishes your current business is the customer concentration. That is at least with one customer, Shelagh's former company. Over time, as AI becomes increasingly pervasive and all the other phenomena that you've talked about and that Aart talked about this morning at the IFS event call. How do you foresee your customer concentration perhaps evolving in core EDA, IP and/or hardware over the next number of years. When you think about all the various trends, technology trends that you've been speaking about for some time, do you think the concentration gets more? Or do you think that you will have less customer concentration over time and set aside Ansys for the moment?

    好的。後續來說,你們目前業務的特色之一就是客戶集中度。至少有一位客戶是這樣的,他就是謝拉的前公司。隨著時間的推移,隨著人工智慧變得越來越普遍,以及你和 Aart 今天早上在 IFS 活動電話會議上談到的所有其他現象。您如何預見未來幾年您的客戶集中度可能會向核心 EDA、IP 和/或硬體發展。當你思考一段時間以來你一直在談論的所有各種趨勢、技術趨勢時,你是否認為注意力會更加集中?或者您認為隨著時間的推移,您的客戶集中度會降低並暫時擱置 Ansys?

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • So Jay, just a correction, both Shelagh and I were alumni of Intel. I felt left out, so I had to correct you here. So I believe the market will go through phases of both verticalization and horizontalization. And the reason for that is when there's a new opportunity, you see many customers either are trying to build a complete stack of the platform. And you hear many of our traditional semiconductor chip companies talking about building a -- or delivering a system to their customers, delivering a platform to their customers. And when you see system companies are trying to go deeper into silicon to drive their own differentiation for their specific workload, specific application, et cetera.

    傑伊,更正一下,謝拉和我都是英特爾的校友。我覺得自己被冷落了,所以我必須在這裡糾正你。所以我認為市場會經歷垂直化和水平化兩個階段。原因是當出現新機會時,您會看到許多客戶都在嘗試建立完整的平台堆疊。你會聽到我們許多傳統的半導體晶片公司談論為他們的客戶建立或交付一個系統,為他們的客戶提供一個平台。當你看到系統公司正試圖深入研究晶片,以推動自己針對特定工作負載、特定應用程式等的差異化。

  • Regardless which direction it goes, for us, we benefit both ways because if the silicon customers are delivering more silicon and specialized silicon for these different market verticals, we sell them IP and EDA to deliver to those products. Same thing with the system companies, you know our concentration correct, that is focused on the chip companies that are working on the most complex SoCs because those are the guys that they spend, at the end, most money to absorb the latest technology that we offer in order to deliver on these complicated chips. So I don't see it changing in the near term. Now if you fast forward 5-plus years from now and many system companies have a very solid, broad semiconductor ARM inside them will be an expanded opportunity for us to serve.

    無論朝哪個方向發展,對我們來說,我們都是雙贏的,因為如果晶片客戶為這些不同的垂直市場提供更多的晶片和專用晶片,我們就會向他們出售IP 和EDA,以交付這些產品。系統公司也是如此,你知道我們的重點是正確的,即專注於開發最複雜的 SoC 的晶片公司,因為這些公司最終花費最多的錢來吸收我們的最新技術。提供這些複雜的晶片。所以我認為短期內不會改變。現在,如果快轉 5 年多後,許多系統公司都擁有非常可靠、廣泛的半導體 ARM,這將為我們提供更多的服務機會。

  • Operator

    Operator

  • Our next question comes from the line of Lee Simpson with Morgan Stanley.

    我們的下一個問題來自李辛普森與摩根士丹利的對話。

  • Lee John Simpson - Equity Analyst

    Lee John Simpson - Equity Analyst

  • Just really rolling back to a couple of things you mentioned on the product summary. I think you mentioned a gate all around work with a mobile SoC player and then went on to talk about 2-nanometer products with leading semis companies out of Asia. Great progress clearly there. And I guess I'm just trying to understand, how does this come through as we get closer to things like 18A, 2-nanometers or N2 more generally at TSMC, all of which seem to be standing up in the 2025 time frame. So that feels to me as though that could and should be a pretty decent secular driver into the back half of the year. Is that the right way to be looking at this? Or does this come further into '25?

    只是真正回滾到您在產品摘要中提到的幾件事。我認為您提到了與行動 SoC 廠商合作的大門,然後繼續討論了與亞洲領先的半導體公司的 2 奈米產品。顯然有很大的進步。我想我只是想了解,當我們在台積電更接近 18A、2 奈米或 N2 等技術時,這是如何實現的,所有這些技術似乎都會在 2025 年的時間框架內站穩腳跟。所以我覺得這可能而且應該成為今年下半年相當不錯的長期驅動因素。這是看待這個問題的正確方式嗎?或者這會進一步進入'25?

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • So any time there is a new technology, in this case, the GAA, the N2, the 18A and maybe you heard Intel earlier today talking about 14A, that's a fantastic opportunity for 2 reasons. In order for the customer to be able to explore that process technology innovation, even if they want to get a feel, do they want to move in the direction or not? Does it add value in terms of performance, power, et cetera? That technology, that process technology needs to be enabled. In order to be enabled, it means Synopsys needs to design its IP on that process technology and make sure that our EDA products are comprehending that new technology in order to deliver to the target performance power area of this process technology.

    因此,每當出現新技術時,例如 GAA、N2、18A,也許您今天早些時候聽到英特爾談論 14A,這都是一個絕佳的機會,原因有兩個。為了讓客戶能夠探索那個工藝技術創新,即使他們想感受一下,他們是否想朝著這個方向前進?它是否在性能、功耗等方面增加了價值?需要啟用該技術、該工藝技術。為了實現這一目標,這意味著 Synopsys 需要在該製程技術上設計其 IP,並確保我們的 EDA 產品能夠理解該新技術,以便實現該製程技術的目標效能功率範圍。

  • So our work starts at least 2 years before we start talking about the GAA tape-out that I mentioned in the script in order to deliver the IP, design the IP and making sure that our EDA products are available and ready. So you can think of it in the time frame that whenever you hear a new technology being introduced, process technology, there is an early, early effort to provide what is called the entitlement of that technology from a design point of view, and then you start seeing IP coming in, design start coming in around 24 months from announcement of technology from the leading foundries.

    因此,我們的工作至少在我們開始討論我在腳本中提到的 GAA 流片之前就開始了,以便交付 IP、設計 IP 並確保我們的 EDA 產品可用並準備就緒。因此,您可以在時間範圍內思考,每當您聽到引入新技術(工藝技術)時,就會很早就做出努力,從設計的角度提供所謂的該技術的權利,然後您從領先的代工廠宣布技術後約24 個月內開始看到IP 的引入和設計的開始。

  • Lee John Simpson - Equity Analyst

    Lee John Simpson - Equity Analyst

  • Great. That's pretty clear, actually. And maybe just as a follow-up, when going back to the Ansys announcement that you made and some of the verticals that they play into, clearly, one of the main drivers that are happening around the automotive industry is this transition or migration to software-defined vehicles. And it does feel as software and hardware decouples, there's a scope here for someone to drive standards, particularly in automotive testing -- sorry, particularly in software, having that fully tested before sent over-the-air. Could that be one of the ambitions for a deal like this? And does that behoove scale to drive standards through? I'm just trying to get my head around what the opportunity might be there in new standards.

    偉大的。事實上,這很清楚。也許作為後續行動,當回到您發布的 Ansys 公告以及它們所涉及的一些垂直領域時,顯然,汽車行業正在發生的主要驅動因素之一就是向軟體的過渡或遷移- 定義的車輛。確實感覺隨著軟體和硬體的解耦,有人可以推動標準,特別是在汽車測試方面——抱歉,特別是在軟體方面,在通過無線發送之前已經進行了全面測試。這可能是此類交易的目標之一嗎?這是否應該擴大規模來推動標準的通過?我只是想了解新標準中可能存在的機會。

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Yes. Lee, good question. We're actually at -- if you think at the software over-the-air update that is being pushed out to, say, a car, what is needed in order for that software to be implemented is for the hardware to be adaptable to the software changes that got pushed down.

    是的。李,好問題。實際上,如果您考慮正在推出的軟體無線更新,例如汽車,那麼為了實現該軟體,需要使硬體能夠適應被推遲的軟體變更。

  • How do you know? Now let's assume you're an automotive OEM? How do you know the response or the reaction of that software update to the function of that system, the cost. And this is where we come in. where you look at the entire electronic system of a car, we can model every chip. We can test every chip. So as the software is adaptable and changing, the automotive OEM will get a feel and validation to every change they make without having to change, of course, the chips and the actual silicon that is in the car. So that's really where we come in, is that's what we're referring to electronics digital twin, where we have the ability to model every aspect of the electronics, the chips and the car. So those automotive OEMs can do exactly what you described.

    你怎麼知道?現在假設您是汽車原始設備製造商?您如何知道該軟體更新對該系統功能的回應或反應,即成本。這就是我們的切入點。當你看到汽車的整個電子系統時,我們可以對每個晶片進行建模。我們可以測試每個晶片。因此,由於軟體具有適應性和變化性,汽車原始設備製造商將感受到並驗證他們所做的每項更改,當然無需更改汽車中的晶片和實際矽。這就是我們真正的切入點,這就是我們所說的電子數位孿生,我們有能力對電子產品、晶片和汽車的各個方面進行建模。所以那些汽車原始設備製造商可以完全按照你所描述的那樣做。

  • Operator

    Operator

  • Our next question comes from the line of Joe with Baird.

    我們的下一個問題來自喬和貝爾德的台詞。

  • Joseph D. Vruwink - Senior Research Analyst

    Joseph D. Vruwink - Senior Research Analyst

  • Great. I wanted to go back to IP performance. Sassine, in your prepared remarks, you mentioned how AI is starting to lift growth around some of the core EDA software lines. I'm wondering if you can maybe do that but for IP and how AI development that customers are maybe starting to lift growth in your IP portfolio. And then related to that, I would imagine these are a lot of advanced IP applications. Is this starting to show up? And what could maybe be favorable price mix, I think it's interesting, if you look at margins over the last 4 quarters now is actually higher than the Design Automation segment. So there seems to be something going on there that's quite impressive.

    偉大的。我想回到 IP 效能。 Sassine,在您準備好的發言中,您提到人工智慧如何開始推動一些核心 EDA 軟體系列的成長。我想知道您是否可以做到這一點,但對於 IP 以及客戶可能開始提升 IP 產品組合成長的人工智慧開發而言。與此相關的是,我想這些都是很多先進的 IP 應用。這是否開始顯現?什麼可能是有利的價格組合,我認為這很有趣,如果你看看過去四個季度的利潤率,現在實際上高於設計自動化領域。所以那裡似乎正在發生一些令人印象深刻的事情。

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Yes. So maybe first, a comment because we did not get to that point where we are in IP overnight. We have built that business 25 years ago. Actually, if I'm not mistaken, this month, is our 25th year anniversary for that business with a scale that is truly serving our customers in an amazing way. And the reason I'm emphasizing on scale, the number of these standards that are required in order to keep up with the complexity of a chip, let's say, when you're talking about an AI chip, the bandwidth requirement to connect the chip to the memory to the networking part of it to the compute aspect of it is changing at a rapid, rapid pace. For us, that's a great opportunity because what it means any time you're going to the next version of that interface IP is a new opportunity to monetize because it's a new IP with an uplift in our pricing in order for our customer to get access to the latest and the greatest. So that's from our ability to execute and deliver high-quality IP to the customer when the customer needs it.

    是的。所以也許首先要發表評論,因為我們並沒有在一夜之間達到智慧財產權的地步。我們 25 年前就建立了這項業務。事實上,如果我沒記錯的話,這個月是我們該業務的 25 週年紀念日,其規模確實以驚人的方式為我們的客戶提供服務。我之所以強調規模,是為了跟上晶片的複雜性所需的標準數量,比如說,當你談論人工智慧晶片時,連接晶片的頻寬要求從記憶體到網路部分再到運算方面都在快速變化。對我們來說,這是一個很好的機會,因為每當您使用該介面IP 的下一個版本時,這都意味著一個新的貨幣化機會,因為它是一個新的IP,我們的定價會提高,以便我們的客戶能夠訪問最新和最好的。這來自於我們在客戶需要時執行並向客戶提供高品質 IP 的能力。

  • Now the other part of your question, please look at the trailing 12 months. The IP, by its nature, it's pulled down where the customer consumes the IP out of typically what we call an FSA, a committed multiyear agreement that we have with the customer that they pull it down when they pulled the IP down when they need it. So naturally, you're going to see very, very strong quarter from either an operating margin or a revenue with a lumpiness where the next quarter may be significantly lower. But as you measure over trailing 12 months, you will absolutely see it up and to the right consistently.

    現在您問題的另一部分,請查看過去 12 個月的情況。從本質上講,IP 會在客戶使用我們所說的FSA 中的IP 時被拉下來,FSA 是我們與客戶簽訂的一項承諾的多年協議,當客戶需要時拉下IP 時,他們就會將其拉下來。 。因此,很自然地,您會看到非常非常強勁的季度營運利潤率或收入波動,而下個季度可能會顯著降低。但當您測量過去 12 個月時,您絕對會看到它始終向上和向右。

  • Joseph D. Vruwink - Senior Research Analyst

    Joseph D. Vruwink - Senior Research Analyst

  • Okay. That's great. And then second question, just looking at your inventory balances, a pretty nice jump there, which I imagine relates just to your expectations on the hardware business looking forward. Any changes in those expectations here at the start of the year? And then I guess related to this, how do you think about the concept of hardware cycles at this point? In the past, there was an all-new platform generation and then upgrading along the way. So you would kind of get ebbs and flows, but we're now several years into a strong hardware environment. How do you see that progressing going forward?

    好的。那太棒了。然後是第二個問題,只要看看你的庫存餘額,那裡就有一個相當不錯的跳躍,我想這與你對未來硬體業務的期望有關。今年年初這些預期有什麼改變嗎?然後我想與此相關的是,您現在如何看待硬體週期的概念?過去有一個全新的平台世代,然後一路升級。所以你可能會經歷起起落落,但我們現在已經進入強大的硬體環境好幾年了。您如何看待未來的進展?

  • Shelagh Glaser - CFO

    Shelagh Glaser - CFO

  • Sure. So let me start, and then I'll have Sassine fill in, so we did grow inventory about 17% quarter-on-quarter, and that's to align with -- we had a record hardware year last year, we're anticipating another record hardware -- hardware year and so we're building to ensure that we have proper supply to support our customers. And the reason that it's so important in our customer design is they're designing more and more complex chips, this allows them to help infuse into their design environment, the ability to model the software before they actually have the chips, so they can find issues and improve their essentially time to market. So it's an incredibly valuable capability for our customers. We want to make sure that we have sufficient hardware available to be able to support this next record year. And I would say the capability that we're delivering to our customers through hardware is something they value greatly which is why we've been able to have record year after record year. In terms of the cycles, maybe, Sassine, do you want to comment on that?

    當然。讓我開始吧,然後我會讓 Sassine 來填補,所以我們的庫存環比增長了約 17%,這與去年我們的硬體創紀錄的一年保持一致,我們預計還會有另一個記錄硬體——硬體年,因此我們正在努力確保我們有適當的供應來支援我們的客戶。它在我們的客戶設計中如此重要的原因是他們正在設計越來越複雜的晶片,這使他們能夠幫助融入他們的設計環境,在他們實際擁有晶片之前對軟體進行建模的能力,這樣他們就可以找到問題並從根本上縮短上市時間。因此,這對我們的客戶來說是一項非常有價值的功能。我們希望確保我們有足夠的硬體來支持下一個創紀錄的年份。我想說的是,我們透過硬體向客戶提供的功能是他們非常看重的,這就是為什麼我們能夠年復一年地創下紀錄。就週期而言,Sassine,您想對此發表評論嗎?

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Yes, sure. Joe, if you go back 8, 10 years ago, selling hardware to customers. The customers viewed it at the time as an option. They could have gotten the job done without it, but they used it because it accelerated their efforts. You fast forward to now, there is no way a chip can go to tape-out, meaning to manufacturing without having many, many cycles of emulation and prototyping to make sure that you cover as much as possible in terms of verifying the chip before you committed to manufacturing. So it's no longer a ebbs and flows of demands around hardware. There's a constant need by our customers to expand their investment and support the latest and greatest systems in order to take advantage of ensuring that the silicon they get back from manufacturing is going to be functioning and working.

    是的,當然。喬,如果你回到 8、10 年前,向客戶銷售硬體。客戶當時將其視為一種選擇。如果沒有它,他們也可以完成工作,但他們使用它是因為它加速了他們的工作。快進到現在,晶片不可能進入流片階段,這意味著在製造過程中,如果沒有很多很多的模擬和原型設計週期,以確保您在驗證晶片之前覆蓋了盡可能多的內容致力於製造。因此,這不再是圍繞硬體的需求的起伏。我們的客戶不斷需要擴大投資並支援最新、最好的系統,以確保他們從製造中獲得的矽能夠正常運作。

  • Operator

    Operator

  • Our next question comes from the line of Harlan with JPMorgan.

    我們的下一個問題來自哈倫與摩根大通的對話。

  • Harlan L. Sur - Executive Director and Head of U.S. Semiconductor & Semiconductor Capital Equipment

    Harlan L. Sur - Executive Director and Head of U.S. Semiconductor & Semiconductor Capital Equipment

  • Great start to the fiscal year. On the AI front, much of the focus has been on data center lake, data center GPU, networking, custom ASICs, focus there continue strong, but now we're seeing sort of this broadening of AI moving into edges and endpoints, right? So now many of your semiconductor customers focused on smartphones, automotive, PCs, home assistance appliances like they're all scrambling to add AI capabilities to their future chip road maps. I think it's resulting in more complex chip designs, more demand for higher performance IP, more systems-level analysis. Like is the team already seeing this in terms of design activity momentum, IP licensing engagements, maybe more potential opportunities for Ansys? I mean any color here would be helpful.

    本財年的良好開端。在人工智慧方面,大部分焦點都集中在資料中心湖、資料中心 GPU、網路、客製化 ASIC 上,這些焦點仍然很強,但現在我們看到人工智慧正在擴展到邊緣和端點,對嗎?因此,現在許多半導體客戶都專注於智慧型手機、汽車、個人電腦、家庭輔助設備,他們都在爭先恐後地將人工智慧功能添加到未來的晶片路線圖中。我認為這導致了更複雜的晶片設計、對更高性能 IP 的更多需求以及更多的系統級分析。團隊是否已經在設計活動勢頭、IP 許可參與方面看到了這一點,也許 Ansys 擁有更多潛在機會?我的意思是這裡任何顏色都會有幫助。

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Thank you, Harlan, for the question. Actually, that's exactly what we refer to as pervasive intelligence. Exactly what we -- when we talk about, we're entering and we are in the era of pervasive intelligence, it's more and more devices are interconnected and smart devices. So that exactly means the reference you made AI on the edge, et cetera, which requires more sophisticated silicon and a broader silicon proliferation of those advanced chips.

    謝謝哈倫提出的問題。事實上,這正是我們所說的普遍智能。正是我們所談論的,我們正在進入智慧無所不在的時代,越來越多的設備相互連接,成為智慧型裝置。因此,這正是意味著您在邊緣進行人工智慧的參考,等等,這需要更複雜的矽以及這些先進晶片的更廣泛的矽擴散。

  • And for our industry, for us, when you think about EDA and IP, that's a fantastic opportunity. And this is where when we make commentary that despite the ebbs and flows of the semiconductor market, the cyclical market, we don't see it because the design starts is tied to those R&D investments that customers are expanding for all these different applications. So absolutely, we're seeing it. We're engaged with those customers and when we start describing our company as silicon to systems in that era of pervasive intelligence is exactly the opportunity that we're talking about here.

    對於我們的行業和我們來說,當你想到 EDA 和 IP 時,這是一個絕佳的機會。這就是當我們發表評論時,儘管半導體市場(週期性市場)有起有落,但我們看不到它,因為設計的開始與客戶為所有這些不同的應用而擴展的研發投資息息相關。所以絕對,我們正在看到它。我們正在與這些客戶合作,當我們開始將我們的公司描述為那個智慧無所不在的時代的系統矽時,這正是我們在這裡談論的機會。

  • Harlan L. Sur - Executive Director and Head of U.S. Semiconductor & Semiconductor Capital Equipment

    Harlan L. Sur - Executive Director and Head of U.S. Semiconductor & Semiconductor Capital Equipment

  • Great insights there. And then on Ansys, looks like your -- looks like your customers' feedback has been, quite positive. We cover 20-something-odd semiconductor companies, and we've asked them about this, and they also seem to be positively inclined on the deal as well. And maybe you can tell me, as a further endorsement on the strategy, I mean, you did see, I think it was last week, right, Renesas, which is a major semiconductor company, they announced that they're going to acquire Altium, this PCB design and analysis company for $6 billion, right? Looks like the systems opportunity is so important that they decided to bring the design and analysis capability directly in-house. But maybe more importantly, I just wanted to know like what you're hearing from Ansys' large customers about the potential combination?

    那裡有很棒的見解。然後在 Ansys 上,看起來您的客戶的回饋非常積極。我們涵蓋了20多家半導體公司,我們向他們詢問了此事,他們似乎也對這筆交易持正面態度。也許你可以告訴我,作為對該策略的進一步認可,我的意思是,你確實看到了,我認為是上週,對吧,瑞薩電子,這是一家大型半導體公司,他們宣布將收購 Altium ,這家PCB設計分析公司價值60億美元吧?看起來系統機會非常重要,因此他們決定將設計和分析能力直接引入內部。但也許更重要的是,我只是想知道您從 Ansys 大客戶那裡聽到的有關潛在組合的資訊?

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • So the customer feedback has been truly overwhelmingly positive around 2 points they make. One, the challenges they're dealing with, they're looking for a deeper collaboration in order to solve the problems they're running into today. And as importantly, they're going to face into the future. So from a -- anticipated solution the way the customers are looking at their current product and future products, they are looking forward to this combination.

    因此,客戶的回饋確實非常積極,圍繞著他們提出的兩點。第一,他們正在應對的挑戰,他們正在尋求更深入的合作,以解決他們今天遇到的問題。同樣重要的是,他們將面對未來。因此,從客戶看待當前產品和未來產品的預期解決方案來看,他們期待這種組合。

  • Now the second feedback that is consistent is what where you were touching on, which is the system-level perspective which is, if you look at the various market verticals, you can argue each one of them is going to go through an inflection point at various points in time. If you fast forward 7, 8, 10 years from now and you pick an industry, let's say, industrial health, et cetera, they will go through that inflection point of transforming and digitizing their applications in order to be connected in order to be smarter devices in their application, et cetera. And this, again, where Ansys has a very strong presence. Because Synopsys for -- since its existence, we serve the chip design customer base.

    現在,第二個一致的回饋是您所觸及的內容,即係統級視角,如果您查看各個市場垂直領域,您可以認為它們中的每一個都將經歷一個拐點各個時間點。如果你快轉 7、8、10 年後,你選擇一個產業,比如說工業健康等,他們將經歷應用程式轉型和數位化的拐點,以便實現互聯,變得更加智能應用程式中的設備等等。 Ansys 再次在這方面擁有非常強大的影響力。因為 Synopsys 自成立以來,我們就為晶片設計客戶群提供服務。

  • And of course, we extended into our system companies where today, about 45% of our business is with system companies, but those are system companies around hyperscalers and mobile primarily, with many, many opportunities to expand with other system companies. And that's where Ansys will bring in more than just the silicon aspect that is needed for 3DIC, but that whole silicon-to-system modernization for the rest of the market verticals.

    當然,我們也擴展到了我們的系統公司,如今,我們約 45% 的業務是與系統公司合作的,但這些系統公司主要圍繞超大規模和行動設備,有很多很多與其他系統公司一起擴張的機會。這就是 Ansys 不僅會引入 3DIC 所需的晶片方面,還會為其他垂直市場帶來整個晶片到系統的現代化。

  • Operator

    Operator

  • Your next question comes from the line of Joshua of Wolfe Research.

    你的下一個問題來自沃爾夫研究中心的約書亞。

  • Joshua Alexander Tilton - Research Analyst

    Joshua Alexander Tilton - Research Analyst

  • Guys, can you hear me?

    夥計們,你聽得到我說話嗎?

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Yes.

    是的。

  • Joshua Alexander Tilton - Research Analyst

    Joshua Alexander Tilton - Research Analyst

  • Great. Actually, I really want to follow up on that last question about the customer feedback on the Ansys acquisition. Is the positive feedback that you're hearing from customers that currently don't leverage the benefits of the existing Ansys-Synopsys partnership? Or are these existing customers of both Synopsys and Ansys?

    偉大的。事實上,我真的很想跟進最後一個關於 Ansys 收購的客戶回饋的問題。您從目前尚未利用現有 Ansys-Synopsys 合作夥伴關係優勢的客戶那裡聽到的正面回饋是否有效?或者這些是 Synopsys 和 Ansys 的現有客戶嗎?

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • They're both Synopsys and Ansys customers. Actually, I want to go back to give you the journey of the customer feedback. In 2017, when we announced the partnership, there was a lot of customer excitement because the way we structured the platform is an open platform, meaning you can use the Ansys sign-off product that can plug then into the Fusion Design Platform from Synopsys. And that same Ansys product can plug into other industry available platforms.

    他們都是 Synopsys 和 Ansys 的客戶。其實我想回去跟大家講一下客戶回饋的歷程。 2017 年,當我們宣布合作夥伴關係時,客戶感到非常興奮,因為我們建立平台的方式是一個開放平台,這意味著您可以使用 Ansys 簽核產品,然後將其插入 Synopsys 的 Fusion Design Platform。同樣的 Ansys 產品可以插入其他產業可用的平台。

  • Then as you move from 2017 to where we are today, that deeper, tighter integration is required not only for 1 or 2 products that Ansys is offering is for the broader portfolio. If you look at a multi-die package, the electronics aspect of it to design that multi-die package, you have a solution today that you can use from Synopsys plus Ansys, and you're good. As it's going through manufacturing, what they're facing is mechanical stress issues, and those are issues where as you squeeze in those dies inside the package and you're running the software workload, it's overheating.

    然後,當您從 2017 年走到今天時,不僅需要對 Ansys 提供的 1 或 2 個產品進行更深入、更緊密的集成,更廣泛的產品組合也是如此。如果您查看多晶片封裝,從電子方面來設計該多晶片封裝,那麼您現在就擁有了可以使用 Synopsys 和 Ansys 的解決方案,而且效果很好。在製造過程中,他們面臨的是機械應力問題,這些問題是當您將這些晶片擠入封裝內並運行軟體工作負載時,它會過熱。

  • Some of these dies are cracking or warping, et cetera. So it's very mechanical intense challenge. We have that deeper integration will be required and needed, and that's what the customer is excited about. And when I say but deeper integration, this is where you need to move in actual algorithms and engines during the design phase, not only when you go into the later stage of the design for sign-off.

    其中一些模具會破裂或變形等。所以這是一個非常機械化的激烈挑戰。我們需要更深入的集成,而這正是客戶所興奮的。當我說更深層的整合時,這就是您需要在設計階段引入實際演算法和引擎的地方,而不僅僅是當您進入設計的後期階段進行簽核時。

  • Joshua Alexander Tilton - Research Analyst

    Joshua Alexander Tilton - Research Analyst

  • Makes total sense. I guess my follow-up to that then is just are you seeing any signs from your existing Synopsys customers of excitement around customers who didn't leverage this partnership previously and now because of these integrations to come are like reaching out to you asking about the potential, the opportunities? And basically, is there any early signs that you're going to see incremental Synopsys plus Ansys users and payers because of this partnership or this acquisition that will close in the first half of '25.

    完全有道理。我想我的後續行動是,您是否看到現有 Synopsys 客戶對以前沒有利用這種合作夥伴關係的客戶感到興奮的跡象,而現在,因為即將到來的這些集成,就像向您詢問有關潛力、機會?基本上,是否有任何早期跡象表明,由於此合作或將於 25 年上半年完成的收購,您將看到 Synopsys 加上 Ansys 用戶和付款人的增量。

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Yes. So Josh, what today, any advanced chip. And when I'm talking about advanced chip, I'm talking about 5-nanometer and below, AI, chip, et cetera, is already using Synopsys and Ansys. I cannot think of customers that are designing most advanced chip with the complexity I described that they are not leveraging Synopsys Fusion platform and Ansys. So they're already there. But given the complexity is going to be further increasing that deeper integration and addressing the challenges beyond the electronics is going to provide the opportunity where 1 plus 1 is more than 2. That's from the core current chip semiconductor business perspective.

    是的。那麼喬什,今天有什麼先進的晶片。當我談論先進晶片時,我談論的是 5 奈米及以下、AI、晶片等,已經在使用 Synopsys 和 Ansys。我無法想像正在設計具有我所描述的複雜性的最先進晶片的客戶,他們沒有利用 Synopsys Fusion 平台和 Ansys。所以他們已經在那裡了。但考慮到複雜性將進一步增加,更深入的整合和解決電子產品之外的挑戰將提供 1 加 1 大於 2 的機會。這是從目前核心晶片半導體業務的角度來看的。

  • Now the other part of your question, are there Ansys customers that today, they're not a Synopsys customer? The answer is yes. There are many, many Ansys customers that we don't see them, by the way, becoming a Synopsys customer in the next 1 or 2 years, but there are going to be many other that they will be a Synopsys customer regardless if they are designing a chip or not.

    現在問題的另一部分是,今天是否有 Ansys 客戶不是 Synopsys 客戶?答案是肯定的。順便說一句,有很多很多 Ansys 客戶,我們沒有看到他們在未來 1 或 2 年內成為 Synopsys 客戶,但還有很多其他客戶,無論他們是否是否設計晶片。

  • I'll give you an example. If you are an industrial OEM and today, you are an Ansys customer because you're using Ansys to design the mechanical aspect, et cetera, of your product. And you want to move to the next level of product delivery where you have more chip content in order to support connected robot, let's say, and a connected and smarter device. Even if you're not developing the chip, you're going to need an ability to model that chip to verify that chip back to the example of automotive and over-the-air software updates. Those industries are going to move in that direction. And this is where Ansys has a very broad presence, that presence, that market knowledge, that brand that they have will absolutely expand the Synopsys market in the future, where it's an Ansys customer, but not a Synopsys customer.

    我給你舉個例子。如果您是工業 OEM,現在您就是 Ansys 客戶,因為您使用 Ansys 來設計產品的機械方面等。您希望將產品交付提升到新的水平,並擁有更多的晶片內容,以支援連網機器人(比如說)以及連網且更智慧的設備。即使您不開發晶片,您也需要能夠對該晶片進行建模,以驗證該晶片回到汽車和無線軟體更新的範例。這些行業將朝這個方向發展。這就是 Ansys 擁有非常廣泛的影響力的地方,他們擁有的存在感、市場知識和品牌絕對會在未來擴大 Synopsys 市場,它是 Ansys 客戶,但不是 Synopsys 客戶。

  • Joshua Alexander Tilton - Research Analyst

    Joshua Alexander Tilton - Research Analyst

  • So just to be clear, the opportunity is more about making Ansys customers that aren't Synopsys customers, Ansys plus Synopsys customers and less about making Synopsys customers, Synopsys plus Ansys customers.

    因此需要明確的是,機會更多的是讓非 Synopsys 客戶的 Ansys 客戶、Ansys 加上 Synopsys 客戶,而不是讓 Synopsys 客戶、Synopsys 加上 Ansys 客戶。

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • It's both. And there are Synopsys customers that today, they're using part of the Ansys portfolio, the more you integrate the Ansys portfolio into a current Synopsys platform, you're going to expand it. For example, the fluid dynamics inside the chip or a mechanical stress challenge that you need to deeper integrate into a Synopsys platform that will expand the 1 plus 1 will be greater than 2.

    兩者都是。現在,Synopsys 的客戶正在使用 Ansys 產品組合的一部分,將 Ansys 產品組合整合到目前 Synopsys 平台中的次數越多,您就會對其進行擴展。例如,晶片內部的流體動力學或機械應力挑戰,您需要更深入地整合到 Synopsys 平台中,將 1 加 1 擴大到大於 2。

  • Shelagh Glaser - CFO

    Shelagh Glaser - CFO

  • Yes. And Josh, what we shared in the announced that by year 4, we'll have a run rate of $400 million in synergies. It was cross-sell both ways is a big part of that. And then as Sassine's talking about that multi-die that is further monetization inside an existing customer because that's a more integrated solution than they're currently able to buy from either one of us individually.

    是的。喬希,我們在宣布的第四年時分享的內容是,我們的綜效運行率將達到 4 億美元。雙向交叉銷售是其中很重要的一環。然後,正如 Sassine 所說,多晶片可以在現有客戶內部進一步貨幣化,因為這是一個比他們目前能夠從我們任何一個單獨購買的解決方案更加整合的解決方案。

  • Trey Campbell

    Trey Campbell

  • Thanks, Josh. I just want to thank everybody for coming on the call today. And again, remind you that we're less than a month from our investor meeting, and we look forward to seeing a bunch of you here in the Bay Area or if you can, at least join our webcast. So we look forward to talking with you then. So thanks for coming to the call, and we'll talk to you soon.

    謝謝,喬許。我只想感謝大家今天來參加電話會議。再次提醒您,距離我們的投資者會議還有不到一個月的時間,我們期待在灣區見到你們,或者如果可以的話,至少參加我們的網路廣播。因此,我們期待屆時與您交談。感謝您撥打電話,我們很快就會與您聯繫。

  • Sassine Ghazi - CEO, President & Director

    Sassine Ghazi - CEO, President & Director

  • Yes. Thank you, everyone.

    是的。謝謝大家。

  • Shelagh Glaser - CFO

    Shelagh Glaser - CFO

  • Thank you.

    謝謝。

  • Operator

    Operator

  • Ladies and gentlemen, that ends today's conference. You may now disconnect.

    女士們、先生們,今天的會議到此結束。您現在可以斷開連線。