科林研發 (LRCX) 2021 Q2 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Good day, and welcome to the Lam Research's December Quarter Financial Conference Call.

    美好的一天,歡迎參加 Lam Research 的 12 月季度財務電話會議。

  • At this time, I'd like to turn the conference over to Ms. Tina Correia, Corporate Vice President of Finance and Investor Relations.

    在這個時候,我想把會議交給負責財務和投資者關係的公司副總裁 Tina Correia 女士。

  • Please go ahead, ma'am.

    請繼續,女士。

  • Tina Correia - Corporate VP of IR & Corporate Finance

    Tina Correia - Corporate VP of IR & Corporate Finance

  • Thank you, and good afternoon, everyone.

    謝謝大家,大家下午好。

  • Welcome to the Lam Research Quarterly Earnings Conference Call.

    歡迎參加 Lam Research 季度收益電話會議。

  • With me today are Tim Archer, President and Chief Executive Officer; and Doug Bettinger, Executive Vice President and Chief Financial Officer.

    今天和我在一起的是總裁兼首席執行官 Tim Archer;以及執行副總裁兼首席財務官 Doug Bettinger。

  • During today's call, we will share our overview on the business environment, and we'll review our financial results for the December 2020 quarter and our outlook for the March 2021 quarter.

    在今天的電話會議中,我們將分享我們對商業環境的概述,並將回顧我們 2020 年 12 月季度的財務業績和 2021 年 3 月季度的展望。

  • The press release detailing our financial results was distributed a little after 1:00 p.m.

    詳細說明我們財務業績的新聞稿在下午 1:00 後發布。

  • Pacific Time this afternoon.

    太平洋時間今天下午。

  • The release can also be found on the Investor Relations section of the company's website along with the presentation slides that accompany today's call.

    該新聞稿還可以在公司網站的投資者關係部分以及今天電話會議隨附的演示幻燈片中找到。

  • Today's presentation and Q&A include forward-looking statements that are subject to risks and uncertainties reflected in the risk factors disclosed in our SEC public filings.

    今天的演講和問答包括前瞻性陳述,這些陳述受到我們在美國證券交易委員會公開文件中披露的風險因素中反映的風險和不確定性的影響。

  • Please see accompanying slides in the presentation for additional information.

    請參閱演示文稿中的隨附幻燈片以獲取更多信息。

  • Today's discussion of our financial results will be presented on a non-GAAP financial basis, unless otherwise specified.

    除非另有說明,今天對我們財務業績的討論將在非公認會計原則財務基礎上進行。

  • A detailed reconciliation between GAAP and non-GAAP results can be found in today's earnings press release.

    可以在今天的收益新聞稿中找到 GAAP 和非 GAAP 結果之間的詳細核對。

  • This call is scheduled to last until 3:00 p.m.

    本次電話會議計劃持續到下午 3:00。

  • Pacific Time.

    太平洋時間。

  • A replay of this call will be made available later this afternoon on our website.

    本次電話會議的重播將於今天下午晚些時候在我們的網站上提供。

  • And with that, I'll hand the call over to Tim.

    有了這個,我會把電話交給蒂姆。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Thanks, Tina, and good afternoon, everyone.

    謝謝,蒂娜,大家下午好。

  • 2020 was a remarkable year for Lam Research.

    2020 年對 Lam Research 來說是非凡的一年。

  • Our global teams rose to meet the unprecedented challenges of the COVID-19 pandemic and delivered the best year in our history.

    我們的全球團隊奮起應對 COVID-19 大流行帶來的前所未有的挑戰,並創造了我們歷史上最好的一年。

  • Total revenue of $11.9 billion and earnings per share of $20.45 were both record highs for the company, driven by served available market, market share and customer support business growth.

    受服務的可用市場、市場份額和客戶支持業務增長的推動,119 億美元的總收入和 20.45 美元的每股收益均創下公司歷史新高。

  • Through the year, we also launched new products and announced breakthrough technology solutions that we believe lay the foundation for our continued success.

    在這一年中,我們還推出了新產品並宣布了突破性的技術解決方案,我們相信這些解決方案為我們的持續成功奠定了基礎。

  • Before going into details, I want to express my sincere thanks to our teams for their incredible execution in an extraordinarily difficult environment and to our customers and suppliers for their partnership and support as we all adapted to the unforeseen events of 2020.

    在詳細介紹之前,我要衷心感謝我們的團隊在異常困難的環境中出色的執行力,感謝我們的客戶和供應商在我們適應 2020 年不可預見的事件時的合作和支持。

  • We entered the new year with hope that the world would soon emerge from the COVID-19 health crisis and move towards a global recovery.

    我們帶著希望進入新的一年,希望世界能夠很快擺脫 COVID-19 健康危機並走向全球復甦。

  • From our view, WFE spending in 2020 ended in the high $50 billion range.

    在我們看來,2020 年 WFE 的支出達到了 500 億美元的高位。

  • This is about what we estimated at our Investor Day in March just before the extent of COVID-19's impact was known.

    這與我們在 3 月份的投資者日估計的結果差不多,就在我們知道 COVID-19 的影響程度之前。

  • We saw spending growth across all segments of the market, led by a recovery in NAND, continued expansion in foundry/logic and a slight pickup in DRAM.

    我們看到市場所有領域的支出都在增長,這主要得益於 NAND 的複蘇、代工/邏輯的持續擴張以及 DRAM 的小幅回升。

  • China domestic spending for the year was in the $10 billion-plus range.

    中國今年的國內支出在 100 億美元以上。

  • As we look to 2021, we see strong momentum across all parts of our business.

    展望 2021 年,我們看到我們所有業務領域的強勁勢頭。

  • Our early view is for substantial WFE growth to the high $60s billion to $70 billion range, supported by the ongoing migration to higher layer counts in NAND, a strong spending environment in DRAM and increased investment in foundry/logic, as indicated by recent customer commentary.

    我們的早期觀點是 WFE 將大幅增長至 600 億美元至 700 億美元的高水平,這得益於持續向 NAND 中更高層數的遷移、DRAM 的強勁支出環境以及對代工/邏輯的投資增加,正如最近的客戶所表明的那樣評論。

  • Currently, we are seeing spending biased somewhat towards the first half of 2021.

    目前,我們看到支出在某種程度上偏向 2021 年上半年。

  • While today's absolute levels of WFE are significantly higher than a few years ago, we believe the rapid digitization of the global economy, combined with rising capital intensity due to greater process complexity, supports robust multiyear WFE spending.

    雖然今天的 WFE 絕對水平顯著高於幾年前,但我們認為全球經濟的快速數字化,加上流程複雜性增加導致的資本密集度上升,支持了強勁的多年 WFE 支出。

  • In fact, if there's a common theme that underpins our outlook for the next several years, it is that sustainable growth throughout the semiconductor value chain will be driven by the proliferation of artificial intelligence, high performance computing, IoT, 5G and the incredible societal advances and user experiences these technologies enable.

    事實上,如果有一個共同主題支撐我們對未來幾年的展望,那就是整個半導體價值鏈的可持續增長將受到人工智能、高性能計算、物聯網、5G 和令人難以置信的社會進步的推動以及這些技術支持的用戶體驗。

  • We expect strong demand from a diverse set of end-use markets to positively impact semiconductor and semiconductor equipment growth in 2021 and beyond.

    我們預計,來自各種最終用途市場的強勁需求將對 2021 年及以後的半導體和半導體設備增長產生積極影響。

  • Take, for example, the $200 billion global online gaming market.

    以 2000 億美元的全球在線遊戲市場為例。

  • Nearly 3 billion people worldwide actively play video games across a variety of platforms, generating over the last 5 years more than a 50% CAGR in data growth as users embrace realistic experiences enabled by more powerful processors, faster memory and higher graphics resolution.

    全球有近 30 億人在各種平台上積極玩視頻遊戲,在過去 5 年中,隨著用戶接受更強大的處理器、更快的內存和更高的圖形分辨率所帶來的逼真體驗,數據的複合年增長率超過 50%。

  • If you just look at a subsegment of the broader gaming market, namely gaming consoles, the number of these units shipped annually is much smaller than the number of smartphones sold.

    如果你只看更廣泛的遊戲市場的一個細分市場,即遊戲機,每年出貨的這些設備的數量遠遠少於銷售的智能手機數量。

  • However, when you consider that a GPU for a gaming console is approximately 4x the size of a smartphone application processor, this is an important driver of incremental WFE.

    但是,當您考慮到遊戲機的 GPU 大約是智能手機應用處理器大小的 4 倍時,這是增加 WFE 的重要驅動因素。

  • From a memory and storage perspective, newer consoles utilize approximately twice the DRAM bits and employ SSD-based storage versus HDD in prior generations.

    從內存和存儲的角度來看,較新的控制台使用大約兩倍的 DRAM 位,並採用基於 SSD 的存儲,而不是前幾代的 HDD。

  • Add rising capital intensity trends on top of this semiconductor content growth, and the impact on WFE increases further.

    在半導體含量增長的基礎上增加資本密集度趨勢,對 WFE 的影響進一步增加。

  • We estimate that a 5% upside in the gaming console market has the potential to drive about $500 million of incremental WFE.

    我們估計遊戲機市場 5% 的上漲有可能推動約 5 億美元的 WFE 增量。

  • And this is just one end-use market example.

    這只是最終用途市場的一個例子。

  • If we similarly look at the impact of the 5G phone market, we see that 5% incremental demand in 5G units has the potential to drive close to $1 billion in incremental WFE.

    如果我們同樣看看 5G 手機市場的影響,我們會發現 5G 單元的 5% 增量需求有可能推動近 10 億美元的 WFE 增量。

  • It is demand drivers such as these that have strengthened our conviction around the sustainability of WFE spending over a multiyear period.

    正是這些需求驅動因素增強了我們對多年期間 WFE 支出可持續性的信念。

  • It is against this positive backdrop that Lam remains focused on executing to our long-term objectives for SAM expansion, market share gains and installed base business growth we described at our Investor Day last March.

    正是在這種積極的背景下,Lam 仍然專注於執行我們在去年 3 月的投資者日上描述的 SAM 擴張、市場份額增加和安裝基礎業務增長的長期目標。

  • In NAND, we continue to extend our strong leadership position.

    在 NAND 領域,我們繼續鞏固我們強大的領導地位。

  • We estimate that our tools have now cumulatively processed approximately 37 million more wafers than our nearest competition through the 3 most critical 3D NAND applications.

    我們估計,通過 3 個最關鍵的 3D NAND 應用,我們的工具現在累計處理的晶圓比我們最接近的競爭對手多約 3700 萬片。

  • Since we first provided this metric at our Investor Day, less than 1 year ago, we have widened the wafers processed experience gap by more than 40%.

    自從我們在投資者日首次提供這一指標以來,不到一年前,我們已將晶圓加工經驗差距擴大了 40% 以上。

  • The accelerated learning that comes from our installed base of 3D NAND systems puts Lam in the best position to deliver the solutions needed to meet our customers' next-generation manufacturing challenges.

    來自我們已安裝的 3D NAND 系統基礎的加速學習使 Lam 處於最佳位置,可以提供滿足客戶下一代製造挑戰所需的解決方案。

  • It also allows us to gain early insight into new opportunities being created by technology inflections.

    它還使我們能夠及早洞察技術變化所創造的新機會。

  • For example, in 2020, we announced our new Striker FE atomic layer deposition system, which employs a unique ICEFill capability for high aspect ratio dielectric gapfill.

    例如,在 2020 年,我們發布了新的 Striker FE 原子層沉積系統,該系統採用了獨特的 ICEFill 能力,用於高縱橫比介電間隙填充。

  • This tool addresses a new technology need for 3D NAND devices scaling to 128 layers or more.

    該工具滿足了將 3D NAND 設備擴展到 128 層或更多層的新技術需求。

  • And production ramp of Striker FE is underway at multiple customers.

    Striker FE 的生產斜坡正在多個客戶中進行。

  • 2020 also saw the launch of Sense.i, our next-generation etch platform.

    2020 年還推出了我們的下一代蝕刻平台 Sense.i。

  • And today, we announced our new Vantex high aspect ratio dielectric etch module on Sense.i.

    今天,我們在 Sense.i 上宣布了我們新的 Vantex 高縱橫比電介質蝕刻模塊。

  • Vantex features advanced RF technology and new uniformity enhancements to enable next-generation device road maps.

    Vantex 採用先進的射頻技術和新的均勻性增強功能,以實現下一代設備路線圖。

  • Vantex and Sense.i together collect more data per wafer than ever before, enabling advanced equipment intelligence and -- to deliver new levels of productivity and process control.

    Vantex 和 Sense.i 在每個晶圓上收集的數據比以往任何時候都多,從而實現了先進的設備智能,並提供了新水平的生產力和過程控制。

  • The timing of our Vantex launch intercepts DRAM and NAND road maps facing increasingly complex node-to-node scaling challenges.

    我們推出 Vantex 的時機恰逢 DRAM 和 NAND 路線圖,它們面臨著日益複雜的節點到節點擴展挑戰。

  • As a result, Vantex is already in qualification with both DRAM and NAND customers, and repeat orders have been received to ramp this system into high-volume production in 2021.

    因此,Vantex 已經獲得了 DRAM 和 NAND 客戶的資格,並收到了重複訂單,以將該系統在 2021 年投入大批量生產。

  • For foundry/logic, we continue to target new technology inflections to expand our opportunity and position.

    對於代工/邏輯,我們繼續瞄準新技術變化以擴大我們的機會和地位。

  • Our KIYO GX conductor etch system has been engineered with an advanced RF pulsing capability to meet the unique requirements of extremely narrow high aspect ratio features.

    我們的 KIYO GX 導體蝕刻系統具有先進的射頻脈衝能力,可滿足極窄高縱橫比特性的獨特要求。

  • It also provides extendability to future devices featuring nanowire or nanosheet architectures.

    它還為具有納米線或納米片架構的未來設備提供了可擴展性。

  • Leading-edge foundry/logic customers are increasingly adopting KIYO GX for their most critical front-end-of-line applications at 5-nanometer and beyond, where the need for atomic-level precision etch becomes more acute.

    領先的代工/邏輯客戶越來越多地將 KIYO GX 用於 5 納米及以上最關鍵的前端應用,在這些應用中,對原子級精密蝕刻的需求變得更加迫切。

  • Moreover, as devices scale, parasitic RC degrades transistor performance.

    此外,隨著器件規模的擴大,寄生 RC 會降低晶體管性能。

  • As a result, we are seeing increasing customer pull for Lam's etch and deposition solutions designed to reduce our RC effects, including atomic layer etch for self-aligned contacts, new functional films and optimized metal solutions, which reduce wear and line resistance by simplifying middle-of-line and back-end-of-line process flows.

    因此,我們看到客戶對 Lam 旨在減少 RC 效應的蝕刻和沈積解決方案的吸引力越來越大,包括用於自對準觸點的原子層蝕刻、新的功能薄膜和優化的金屬解決方案,這些解決方案通過簡化中間層來降低磨損和線路電阻生產線和後端工藝流程。

  • In DRAM, we are seeing incremental share in SAM growth also coming from growing complexity of node transitions.

    在 DRAM 中,我們看到 SAM 增長的增量份額也來自節點轉換的日益複雜。

  • We assess that we have greater than 50% etch market share in DRAM.

    我們評估我們在 DRAM 中擁有超過 50% 的蝕刻市場份額。

  • And due to the importance of high-quality hard masks and mask open etches with EUV, we expect additional patterning share gains in etch and deposition as EUV passes increase at future nodes.

    由於高質量硬掩模和 EUV 掩模開放蝕刻的重要性,我們預計隨著未來節點的 EUV 通過增加,蝕刻和沈積中的額外圖案化份額增加。

  • Adoption of EUV in foundry/logic and DRAM is also creating a significant SAM expansion opportunity for Lam's dry photoresist solution.

    在代工/邏輯和 DRAM 中採用 EUV 也為 Lam 的干式光刻膠解決方案創造了重要的 SAM 擴展機會。

  • Using this new technology, we believe we can accelerate our growth in both foundry/logic and DRAM by disrupting the existing wet photoresist equipment market.

    使用這項新技術,我們相信我們可以通過擾亂現有的濕式光刻膠設備市場來加速我們在代工/邏輯和 DRAM 方面的增長。

  • Our solution is gaining significant traction with leading customers as they look to improve the cost metrics of EUV patterning.

    我們的解決方案在主要客戶中獲得了極大的關注,因為他們希望提高 EUV 圖案化的成本指標。

  • Changes of this magnitude do take time to realize, but with tools now being installed and wafers being run for top DRAM and foundry/logic customers, we are pleased with our progress readying this innovative technology for production.

    這種規模的變化確實需要時間來實現,但隨著現在為頂級 DRAM 和代工廠/邏輯客戶安裝工具和運行晶圓,我們對我們在為生產準備這種創新技術方面取得的進展感到高興。

  • And finally, 2020 was another outstanding year for our Customer Support Business Group.

    最後,2020 年對我們的客戶支持業務組來說又是一個傑出的一年。

  • Our installed base has now reached nearly 66,000 chambers, and CSBG revenue growth exceeded chamber growth by a factor of more than 2x for the 2020 calendar year.

    我們的安裝基數現已達到近 66,000 個房間,CSBG 的收入增長超過了 2020 日曆年房間增長的兩倍多。

  • We generated record revenues for all subsegments within CSBG.

    我們為 CSBG 的所有子部門創造了創紀錄的收入。

  • Growth in our Reliant business was driven by automotive, 5G and consumer electronics, and we expect these areas to continue to outpace overall market growth in coming years.

    Reliant 業務的增長受到汽車、5G 和消費電子產品的推動,我們預計這些領域在未來幾年將繼續超過整體市場增長。

  • Meanwhile, we delivered on the expectations we set on our last earnings call for calendar year growth of 25% in productivity-focused services and 6x growth in remote support engagements.

    與此同時,我們實現了我們在上次財報電話會議上設定的預期,即以生產力為中心的服務日曆年增長 25%,遠程支持業務增長 6 倍。

  • We are excited about the trajectory and broad strength of this business and especially its proven ability to deliver world-class support of complex technologies in high-volume manufacturing.

    我們對這項業務的發展軌跡和廣泛的實力感到興奮,尤其是它在大批量製造中為複雜技術提供世界級支持的成熟能力。

  • To wrap up, Lam marked its 40th anniversary in 2020 with record financial performance, a strong slate of innovative new products and services and solid execution on our strategy to expand leadership across markets.

    最後,Lam 在 2020 年以創紀錄的財務業績、一系列創新的新產品和服務以及穩固執行我們擴大市場領導地位的戰略來慶祝其成立 40 週年。

  • As our March quarter guidance suggests, we are optimistic about the opportunities that lie ahead for Lam and believe we are in an excellent position to win.

    正如我們 3 月份的季度指引所暗示的那樣,我們對 Lam 面臨的機會持樂觀態度,並相信我們處於獲勝的有利位置。

  • Thanks again.

    再次感謝。

  • And now here's Doug.

    現在是道格。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Excellent.

    優秀的。

  • Thank you, Tim.

    謝謝你,蒂姆。

  • Good afternoon, and thank you all for joining us today on what I know is a very busy earnings time.

    下午好,感謝大家今天加入我們,我知道這是一個非常繁忙的收入時間。

  • I hope you and your families have been safe and healthy since we last spoke with you.

    我希望您和您的家人自我們上次與您交談以來一直安全健康。

  • I'm really quite pleased to be reporting these outstanding results.

    我真的很高興能夠報告這些出色的結果。

  • We came in at the high end or exceeded the range for all guided metrics in the December quarter.

    在 12 月季度,我們進入了高端或超過了所有指導指標的範圍。

  • Despite the challenges we faced during 2020 related to the global pandemic, Lam delivered record financial performance in revenue, operating income dollars and earnings per share.

    儘管我們在 2020 年面臨與全球大流行相關的挑戰,但 Lam 在收入、營業收入美元和每股收益方面取得了創紀錄的財務業績。

  • Our December quarter revenue came in at $3.46 billion, at the high end of our guidance range, and represented an increase of 9% from the September quarter.

    我們 12 月季度的收入為 34.6 億美元,處於我們指導範圍的高端,比 9 月季度增長了 9%。

  • The strength of our performance was driven by investments in all device segments as our customers ramped to meet the demands from a diverse set of end markets such as data centers, smartphones, PCs, gaming consoles, IoT and automotive.

    隨著我們的客戶越來越多地滿足數據中心、智能手機、個人電腦、遊戲機、物聯網和汽車等各種終端市場的需求,我們對所有設備領域的投資推動了我們業績的強勁增長。

  • Overall, our revenue increase was not only driven by the wafer fab equipment needs of the industry, but also by the continuous growth of our installed base.

    總體而言,我們的收入增長不僅受到行業晶圓廠設備需求的推動,還受到我們安裝基礎的持續增長的推動。

  • We continue to add value to our customers by delivering spare parts, equipment upgrades, refurbished tools and advanced service offerings.

    我們通過提供備件、設備升級、翻新工具和先進的服務產品,繼續為我們的客戶增加價值。

  • Looking at the details of our systems revenue, the memory segment was strong in the December quarter, coming in at 68% of systems revenue.

    查看我們系統收入的詳細信息,內存部門在 12 月季度表現強勁,佔系統收入的 68%。

  • This strength was driven by the NAND segment, which represented 51% of our systems revenue versus 39% in the prior quarter.

    這種優勢是由 NAND 部門推動的,該部門占我們系統收入的 51%,而上一季度為 39%。

  • December was a record revenue level of NAND quarterly revenue dollars for the company.

    12 月是該公司 NAND 季度收入的創紀錄水平。

  • We have clear leadership positions in the NAND segment, with customers investing in equipment for 64-, 96- and 128-layer devices.

    我們在 NAND 領域擁有明確的領導地位,客戶投資於 64 層、96 層和 128 層設備的設備。

  • DRAM investments contributed 17% of our systems revenue.

    DRAM 投資貢獻了我們系統收入的 17%。

  • DRAM spending was spread over the 1Y, 1Z and 1-alpha nodes.

    DRAM 支出分佈在 1Y、1Z 和 1-alpha 節點上。

  • The revenue percentage was down slightly from the 19% in the September quarter.

    收入百分比比 9 月季度的 19% 略有下降。

  • We expect continuing healthy investments in the combined memory market as we see prudent inventory and profitability management.

    由於我們看到審慎的庫存和盈利能力管理,我們預計合併後的內存市場將繼續進行健康的投資。

  • On the foundry segment side, spending remains robust.

    在代工部門方面,支出仍然強勁。

  • We concluded 2020 with a record level of revenue dollars in this segment.

    我們在 2020 年結束時在該領域創造了創紀錄的收入水平。

  • The majority of the investments in the December quarter were concentrated at the leading-edge 7- and 5-nanometer nodes.

    12 月季度的大部分投資集中在領先的 7 納米和 5 納米節點。

  • Foundry represented 26% of our systems revenue for the quarter versus 36% in the September quarter.

    Foundry 占我們本季度系統收入的 26%,而 9 月季度為 36%。

  • Rounding out the systems revenue picture, logic and other contributed the remaining 6% of systems revenue in the December quarter, which was flat with the prior quarter level.

    完善系統收入情況,邏輯和其他貢獻了 12 月季度剩餘 6% 的系統收入,與上一季度持平。

  • From a regional revenue perspective, we continue to see solid levels of investment in the China region, coming in at 35% of total revenues.

    從區域收入的角度來看,我們繼續看到中國地區的投資水平穩定,佔總收入的 35%。

  • Different than the last several quarters, the majority of the China spending this quarter came from our global multinational customers investing in their China-located fabs.

    與過去幾個季度不同的是,本季度中國的大部分支出來自我們的全球跨國客戶投資他們在中國的晶圓廠。

  • As Tim noted, we achieved another record quarter of revenue for our Customer Support Business Group, coming in at $1.1 billion, which is an increase of 12% from the September quarter and over 35% higher than the same quarter in 2019.

    正如 Tim 所指出的,我們的客戶支持業務部門的收入再創歷史新高,達到 11 億美元,比 9 月季度增長 12%,比 2019 年同期增長 35% 以上。

  • The growth we've seen in each of the subsegments of this business is a testament to the value we're providing to our customers for technology and productivity enhancements.

    我們在該業務的每個子領域看到的增長證明了我們為客戶提供的技術和生產力提升價值。

  • We remain very comfortable with our commitment to deliver greater than 40% cumulative CSBG revenue growth between 2019 and 2023, as we outlined at our Investor Day in March of last year.

    正如我們在去年 3 月的投資者日上概述的那樣,我們仍然對在 2019 年至 2023 年期間實現超過 40% 的 CSBG 累計收入增長的承諾感到非常滿意。

  • The December quarter gross margin was 46.6%, generally in line with our expectations.

    12 月季度毛利率為 46.6%,基本符合我們的預期。

  • As I've noted in the past, gross margin could fluctuate quarter-to-quarter due to overall business levels, along with customer and product mix.

    正如我過去所指出的,由於整體業務水平以及客戶和產品組合,毛利率可能會出現季度波動。

  • In the quarter, our factory utilization levels improved with the increased business volume.

    本季度,我們的工廠利用率水平隨著業務量的增加而提高。

  • I would mention we do have continued headwinds to gross margin related to elevated costs for airfreight that will impact us until freight claims get back to more normalized levels.

    我要提到的是,與空運成本升高相關的毛利率確實持續受到不利影響,這將影響我們,直到貨運索賠恢復到更正常的水平。

  • Operating expenses for December came in at $563 million, which is an increase from the prior quarter, largely as a result of increased incentive compensation expense that was tied to our higher profitability levels.

    12 月份的運營費用為 5.63 億美元,比上一季度有所增加,這主要是由於與我們更高的盈利水平相關的激勵薪酬費用增加所致。

  • During calendar year 2020, we spent over $1.3 billion in research and development, which represents approximately 2/3 of our operating expenses.

    在 2020 日曆年,我們在研發上花費了超過 13 億美元,約占我們運營費用的 2/3。

  • The R&D focus is a fundamental part of offering differentiated products and capabilities like Sense.i and Vantex, enhanced ALD and dry resist that deliver on our long-term growth objectives.

    研發重點是提供差異化產品和功能(如 Sense.i 和 Vantex)、增強型 ALD 和乾式抗蝕劑的基本組成部分,可實現我們的長期增長目標。

  • We had over $1 billion in operating income in the December quarter for the first time in company history, with operating margin at the high end of the guidance range, coming in at 30.3%.

    我們在 12 月季度的營業收入在公司歷史上首次超過 10 億美元,營業利潤率處於指導範圍的高端,為 30.3%。

  • This was due to our strong revenue and gross margin.

    這是由於我們強勁的收入和毛利率。

  • Our non-GAAP tax rate for the quarter was 11.5%.

    我們本季度的非公認會計原則稅率為 11.5%。

  • As we've discussed in the past, we will have fluctuations in tax rate from quarter-to-quarter, and you should continue to expect the ongoing tax rate to be in the low teens level for the 2021 calendar year.

    正如我們過去所討論的,我們的稅率將逐季波動,您應該繼續預計 2021 日曆年的持續稅率將處於青少年的低水平。

  • I would mention we are monitoring potential tax changes that may arise from the new administration in the United States.

    我要提到的是,我們正在監測美國新政府可能產生的潛在稅收變化。

  • Other income and expense was approximately $53 million in expense, fairly flat with the prior quarter.

    其他收入和支出約為 5300 萬美元,與上一季度基本持平。

  • I would like to remind you that beginning in the March 2020 quarter, the benefits and costs of our employee deferred compensation plan are no longer mismatched in our non-GAAP results.

    我想提醒您,從 2020 年 3 月季度開始,我們的員工遞延薪酬計劃的收益和成本不再與我們的非公認會計原則結果不匹配。

  • They are mismatched in the GAAP results.

    它們在 GAAP 結果中不匹配。

  • This mismatch was $24 million in the December quarter.

    這種不匹配在 12 月季度為 2400 萬美元。

  • You can see this in the GAAP reconciliation table of our earnings release.

    您可以在我們的收益發布的 GAAP 調節表中看到這一點。

  • The fluctuations were higher this quarter due to the volatility in the market.

    由於市場波動,本季度波動較大。

  • Let me now turn to our capital return activity.

    現在讓我談談我們的資本回報活動。

  • For the December quarter, we paid $188 million in dividends and allocated [$723 million] (corrected by company after the call) towards share repurchase.

    對於 12 月季度,我們支付了 1.88 億美元的股息,並分配了 [7.23 億美元](在電話會議後由公司更正)用於股票回購。

  • During the quarter, our Board approved an additional $5 billion share repurchase authorization.

    在本季度,我們的董事會批准了另外 50 億美元的股票回購授權。

  • For calendar year 2020, we repurchased 3.8 million shares, deploying $1.4 billion at an average repurchase price of approximately $360 per share.

    在 2020 日曆年,我們回購了 380 萬股股票,部署了 14 億美元,平均回購價格約為每股 360 美元。

  • We also paid out dividends totaling approximately $686 million during the year.

    年內,我們還支付了總計約 6.86 億美元的股息。

  • In total, our capital return activities represented close to 100% of our free cash flow.

    總的來說,我們的資本回報活動占我們自由現金流的近 100%。

  • I'd also mention that since we increased the level of our capital return back in 2017, we've paid out $2.1 billion in dividends and deployed $9.3 billion towards buybacks, repurchasing 47.3 million shares at an average price of $198 per share.

    我還要提到,自從我們在 2017 年提高資本回報水平以來,我們已經支付了 21 億美元的股息,並為回購部署了 93 億美元,以每股 198 美元的平均價格回購了 4730 萬股。

  • Diluted earnings per share came in at $6.03, a little above the guidance range and more importantly at an all-time high for the company.

    每股攤薄收益為 6.03 美元,略高於指導範圍,更重要的是,該公司創下歷史新高。

  • Our diluted share balance was down slightly from the September quarter, coming in at 146 million shares, pretty much as we forecast.

    我們的稀釋後股票餘額比 9 月季度略有下降,為 1.46 億股,與我們的預期差不多。

  • The share count includes the dilutive impact of approximately 800,000 shares from the 2041 convertible notes.

    股份數量包括約 800,000 股 2041 可轉換票據的攤薄影響。

  • Let's now look at the balance sheet.

    現在讓我們看看資產負債表。

  • Cash and short-term investments, including restricted cash, decreased to $6.3 billion from $6.9 billion in the prior quarter, largely due to the capital return activities that I discussed previously.

    現金和短期投資(包括受限現金)從上一季度的 69 億美元降至 63 億美元,這主要是由於我之前討論的資本回報活動。

  • Days sales outstanding increased to 76 days in the December quarter from 66 days in September.

    12 月季度的未償銷售天數從 9 月的 66 天增加到 76 天。

  • The increase is largely due to revenue linearity and the timing of collections that fell in the March fiscal quarter.

    增長主要是由於收入線性和 3 月財政季度的收款時間下降。

  • I just mentioned that we collected $136 million on the first day of the March 2021 quarter and over $570 million during the first week.

    我剛剛提到,我們在 2021 年 3 月季度的第一天籌集了 1.36 億美元,在第一周就籌集了超過 5.7 億美元。

  • Inventory turns were slightly up from the prior quarter level, coming in at 3.2x.

    庫存周轉率略高於上一季度的水平,為 3.2 倍。

  • Cash flow from operations came in at $345 million, which is somewhat depressed as a result of the growth in accounts receivable and inventory.

    來自運營的現金流為 3.45 億美元,由於應收賬款和庫存的增長,這在一定程度上受到了抑制。

  • We've grown inventory to support the higher expected March business volumes and to mitigate supply chain risks from any potential disruption from the COVID-19 environment.

    我們增加了庫存,以支持更高的預期 3 月業務量,並減輕因 COVID-19 環境造成的任何潛在中斷帶來的供應鏈風險。

  • Noncash expenses included approximately $52 million for equity compensation, $59 million for depreciation and $17 million for amortization.

    非現金支出包括大約 5200 萬美元的股權補償、5900 萬美元的折舊和 1700 萬美元的攤銷。

  • Capital expenditures for the December quarter increased from September to a total of $92 million.

    12 月季度的資本支出從 9 月增加到 9200 萬美元。

  • We are investing to support the expanding operations at our new Malaysia factory, our manufacturing facility in Ohio that's focused on critical spare parts and the recently announced Korea Technology Center.

    我們正在投資支持我們在馬來西亞的新工廠、我們在俄亥俄州專注於關鍵備件的製造工廠以及最近宣布的韓國技術中心的擴張業務。

  • We expect to see somewhat higher levels of capital expenditures in 2021 as we support these critical initiatives.

    隨著我們支持這些關鍵舉措,我們預計 2021 年的資本支出水平會有所提高。

  • Ending headcount for the December quarter was approximately 12,200 regular full-time employees.

    12 月季度末的正式員工人數約為 12,200 名。

  • Resources have been added to support the increased business volume in our factories, to service our customers in the field and to further enhance our R&D capabilities.

    已增加資源以支持我們工廠增加的業務量,為現場客戶提供服務並進一步增強我們的研發能力。

  • Now looking ahead, I'd like to provide our non-GAAP guidance for the March 2021 quarter.

    現在展望未來,我想提供我們對 2021 年 3 月季度的非 GAAP 指導。

  • We're expecting revenue of $3.7 billion, plus or minus $200 million; gross margin of 46%, plus or minus 1 percentage point; operating margins of 30.5%, plus or minus 1 percentage point; and finally, earnings per share of $6.55, plus or minus $0.40 based on a share count of approximately 145 million shares.

    我們預計收入為 37 億美元,上下浮動 2 億美元;毛利率46%,上下1個百分點;營業利潤率為 30.5%,上下浮動 1 個百分點;最後,每股收益為 6.55 美元,根據大約 1.45 億股的股票數量,正負 0.40 美元。

  • Tim has already given you our outlook for 2021 WFE.

    Tim 已經向您介紹了我們對 2021 WFE 的展望。

  • I'd just reiterate, we do think it will be a somewhat first half-weighted spend, although things could change as the year unfolds.

    我只是重申一下,我們確實認為這將是上半年的加權支出,儘管隨著時間的推移情況可能會發生變化。

  • You should take that into account as you build your models for the year.

    在構建當年的模型時,您應該考慮到這一點。

  • So in summary, we just concluded the best financial year in Lam Research's history.

    總而言之,我們剛剛結束了 Lam Research 歷史上最好的財政年度。

  • Additionally, we provided guidance for March that represents another record level of financial performance.

    此外,我們提供了 3 月份的指導,這代表了另一個創紀錄的財務業績水平。

  • The company is executing well in a challenging environment.

    公司在充滿挑戰的環境中表現良好。

  • We're delivering on our near-term objectives of laying the framework for continued long-term execution.

    我們正在實現為持續長期執行奠定框架的近期目標。

  • This is a testament to Lam's leadership team and our dedicated employees.

    這證明了林的領導團隊和我們敬業的員工。

  • Operator, that concludes my prepared remarks.

    接線員,我準備好的發言到此結束。

  • Tim and I would now like to open up the call for questions.

    蒂姆和我現在想打開提問的電話。

  • Operator

    Operator

  • (Operator Instructions) And we'll take our first question today from John Pitzer with Crédit Suisse.

    (操作員說明)今天,我們將回答瑞士信貸的 John Pitzer 提出的第一個問題。

  • John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

    John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

  • Congratulations on the great results.

    祝賀偉大的結果。

  • Tim, I just want to go back to your WFE target guide for this year of high $60s million to $70 million.

    蒂姆,我只想回到你的 WFE 目標指南,今年 6000 萬到 7000 萬美元。

  • Now if you harken back to the Analyst Day almost a year ago, your 3- to 4-year target out was sort of a range of $60 million to $70 million.

    現在,如果你回想起大約一年前的分析師日,你的 3 到 4 年目標大概在 6000 萬到 7000 萬美元之間。

  • And so I'm curious, how should we think about how quickly we've gotten here?

    所以我很好奇,我們應該如何考慮我們到達這裡的速度有多快?

  • Do we worry about cyclical overheating?

    我們是否擔心週期性過熱?

  • And in a similar vein, as you think about this year being perhaps a little bit more first half-weighted, is that really a commentary on normal seasonality?

    同樣,當您認為今年上半年的權重可能會更高時,這真的是對正常季節性的評論嗎?

  • You want to add capacity in the first half for demand in the second half?

    您想在上半年增加產能以滿足下半年的需求嗎?

  • Or as you look at the bottoms-up, are you worried about any areas that are kind of perhaps cyclically heating up a little bit too much?

    或者當你從下往上看時,你是否擔心任何可能週期性升溫的區域有點過多?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, a lot of questions in there, John.

    嗯,裡面有很多問題,約翰。

  • But maybe -- yes, there was a comment in my script even that was -- that said, in many cases, the outlook we gave at Investor Day, that was formulated before we really knew about COVID-19, before we saw all of these tremendous work-from-home drivers, a lot of the things that just really have kind of changed maybe the way semiconductors have -- and the role semiconductors have played kind of in the world in the last 12 months.

    但也許——是的,我的劇本中有一條評論,即使是——也就是說,在很多情況下,我們在投資者日給出的前景是在我們真正了解 COVID-19 之前製定的,在我們看到所有這些巨大的在家工作的驅動力,許多真正發生了變化的事情,也許是半導體的方式——以及半導體在過去 12 個月中在世界上所扮演的角色。

  • And so we gave our best view when we gave it.

    因此,當我們給出最佳觀點時,我們給出了最好的觀點。

  • And at that time, we thought a range of $60 billion to $70 billion seemed pretty reasonable, given what we thought about demand.

    當時,考慮到我們對需求的看法,我們認為 600 億至 700 億美元的範圍似乎相當合理。

  • Clearly, some segments of the market have been growing a lot faster, as we just mentioned, from those demand trends.

    顯然,正如我們剛才提到的,從這些需求趨勢來看,某些市場領域的增長速度要快得多。

  • When you look at it, there is an urgency to get tooling to meet demand.

    當您看到它時,迫切需要獲得工具來滿足需求。

  • And that's not just -- that's not our customers.

    這不僅僅是 - 這不是我們的客戶。

  • That's also us as we look -- Doug talked about investment in our Ohio facility to build critical spare parts as factories are running at very high levels of utilization.

    這也是我們的看法——Doug 談到了對我們俄亥俄州工廠的投資,以製造關鍵備件,因為工廠正在以非常高的利用率運行。

  • So we're investing.

    所以我們在投資。

  • Our customers are investing.

    我們的客戶正在投資。

  • I think the outlook is -- yes, it's difficult to say.

    我認為前景是——是的,很難說。

  • We're telling you right now, it looks like it's first half -- somewhat first half-weighted.

    我們現在告訴你,它看起來像是上半場——有點上半場加權。

  • But I also talked about long-term demand drivers that we think fundamentally continue to become growth drivers for this industry in the long term.

    但我也談到了長期需求驅動因素,我們認為這些驅動因素從根本上將繼續成為該行業的長期增長動力。

  • And we've just seen growth in -- I gave you a couple of examples, 5G, gaming consoles.

    我們剛剛看到了增長——我舉了幾個例子,5G,遊戲機。

  • Everybody has read about the shortages in automotive, image sensors.

    每個人都讀過汽車、圖像傳感器的短缺。

  • There's just such a role that semiconductors play today.

    半導體今天就扮演著這樣的角色。

  • I don't think there's any segment we would point to that we feel is overheating relative to the long-term trends we've talked about.

    我不認為有任何我們會指出我們認為相對於我們所討論的長期趨勢而言過熱的部分。

  • Just one example because I know we'll get the question at some point on NAND.

    只是一個例子,因為我知道我們會在 NAND 的某個時候得到這個問題。

  • We talked about the recovery in NAND.

    我們談到了 NAND 的複蘇。

  • But if you look at the spending on NAND over the last 3 years and we average that out, it's actually very close to the average annual spending that we've outlined for a couple of years at the Flash Memory Summit, which says that you need roughly $70 billion over a 5- year period to hit the high 30s demand in bit growth rate.

    但是,如果您查看過去 3 年在 NAND 上的支出並將其平均下來,它實際上非常接近我們在閃存峰會上概述的幾年的平均年度支出,這表明您需要大約 700 億美元在 5 年內達到 30 年代比特增長率的高需求。

  • And so we feel reasonably comfortable with the demand profiles across all the segments right now.

    因此,我們現在對所有細分市場的需求情況感到相當滿意。

  • And there'll be changes quarter-to-quarter and such.

    每個季度都會有變化等等。

  • But long term, we think they're in line with the demand we're seeing.

    但從長遠來看,我們認為它們符合我們所看到的需求。

  • Operator

    Operator

  • Next we'll hear from Krish Sankar with Cowen and Company.

    接下來,我們將聽取 Cowen and Company 的 Krish Sankar 的來信。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • Just to follow-up on the WFE commentary.

    只是為了跟進 WFE 的評論。

  • Is there a way to quantify it, how much is it front half versus back half?

    有沒有辦法量化它,前半部分和後半部分是多少?

  • And if there's any upside to back half, whether it's going to really come from memory, domestic China, anything?

    如果後半部分有任何上漲空間,它是否真的來自記憶,中國國內,什麼?

  • And then I have a quick follow-up.

    然後我有一個快速跟進。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes.

    是的。

  • It's -- well, we haven't quantified it, and I don't think we're going to do so right now.

    這是 - 好吧,我們還沒有量化它,我認為我們現在不會這樣做。

  • Doug is shaking his head, no.

    道格搖頭,不。

  • Not going to right now.

    現在不打算了。

  • But I think that to your point, and Doug kind of mentioned, it's like, this is our view now.

    但我認為就你的觀點而言,Doug 提到過,這就像,這是我們現在的觀點。

  • You can always feel the demand and the urgency for the next couple of quarters is much, much stronger than the quarters further out.

    你總能感覺到未來幾個季度的需求和緊迫性比更遠的季度要強得多。

  • So I think to your question, which is where might we see changes later in the year, I think you have to look at some of the demand drivers.

    所以我認為對於你的問題,即我們可能會在今年晚些時候看到哪些變化,我認為你必須看看一些需求驅動因素。

  • And again, there's a broadening of demand across -- it's not just driven by leading-edge.

    再一次,需求在不斷擴大——這不僅僅是由領先優勢驅動的。

  • In fact, we were looking at the amount of foundry spending, for instance, that's coming from 28-nanometer-and-above.

    事實上,我們正在研究代工支出的數量,例如,來自 28 納米及以上的代工支出。

  • It's a very high level these days.

    這些天是一個非常高的水平。

  • You see that in strength that gets reported into our CSBG business, the Reliant business.

    您會看到我們的 CSBG 業務 Reliant 業務報告的實力。

  • I think you can continue to see strength there.

    我認為你可以繼續在那裡看到實力。

  • It's how semiconductor is being incorporated into everything.

    這就是將半導體融入一切的方式。

  • The content in cars and such is just increasing at quite a rapid rate.

    汽車等的內容正在以相當快的速度增長。

  • And those tend to drive kind of that off-leading-edge business at a very rapid pace.

    而這些往往會以非常快的速度推動這種非前沿業務。

  • Where that gets manufactured?

    哪裡生產的?

  • I mean, there is a fair bit of investment in China, for instance, that is at those trailing edge nodes.

    我的意思是,在中國有相當多的投資,例如,在那些後緣節點。

  • And I think that's why we have seen strength in China and why we actually believe that China, especially at those trailing edge nodes, continues to be an area of strength as they satisfy a lot of that domestic demand for those kinds of applications like 5G and cars and other things.

    我認為這就是我們看到中國實力的原因,以及為什麼我們實際上相信中國,特別是在那些後緣節點,繼續成為一個實力領域,因為它們滿足了國內對 5G 和汽車和其他東西。

  • So I guess you could say there might be added strength in those broader demand drivers that could surprise us later in the year.

    所以我想你可以說,這些更廣泛的需求驅動因素可能會增加力量,這可能會在今年晚些時候讓我們感到驚訝。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • Got it.

    知道了。

  • That's super-helpful, Tim.

    太有幫助了,蒂姆。

  • Just a quick follow-up.

    只是快速跟進。

  • Your WFE assumption for this year, what are you modeling for domestic channel?

    您對今年的 WFE 假設,您對國內渠道的建模是什麼?

  • You're saying it's $10 billion last year.

    你說去年是100億美元。

  • I'm just kind of curious where it's shaping out for this year?

    我只是有點好奇今年它在哪裡成形?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • I don't think we're ready to give like an exact number.

    我認為我們還沒有準備好給出一個確切的數字。

  • But what we've said is it's $10 billion-plus this year, and we expect growth this year.

    但我們所說的是今年超過 100 億美元,我們預計今年會增長。

  • I don't know if Doug wants to add anything to that.

    我不知道 Doug 是否想對此添加任何內容。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • It's probably in the same range, Krish, plus/minus a little bit is kind of how we're thinking about it.

    它可能在同一個範圍內,Krish,加/減一點是我們正在考慮的方式。

  • Operator

    Operator

  • Next we'll hear from Timothy Arcuri with UBS.

    接下來我們將聽取瑞銀的蒂莫西·阿庫裡 (Timothy Arcuri) 的來信。

  • Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

    Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

  • I guess, Doug, I wanted to ask the prior question maybe a little bit differently.

    我想,道格,我想問前面的問題可能有點不同。

  • You said WFE this year, it's probably $58.5 billion -- I'm sorry, not this year, last year, probably about $58.5 billion.

    你說今年的 WFE,大概是 585 億美元——對不起,不是今年,是去年,大概是 585 億美元。

  • So your share grew about 100 basis points up to like 13.6%.

    所以你的份額增長了大約 100 個基點,達到了 13.6%。

  • So if I assume that the service business -- maybe you could help tell me if this is right, but it seems like service is going to be maybe [1225] or [1250] in March.

    因此,如果我假設服務業務——也許你可以幫助告訴我這是否正確,但看起來服務可能會在 3 月 [1225] 或 [1250]。

  • So if you use that same share number, you get like an $18.5 billion -- $18.3 billion, $18.5 billion worth of WFE in Q1.

    因此,如果您使用相同的股票數量,您將在第一季度獲得 185 億美元 - 183 億美元,價值 185 億美元的 WFE。

  • So that's almost $74 billion annualized.

    因此,這幾乎是每年 740 億美元。

  • So I sort of look at the full year number of -- you're guiding high $60s billion to $70 billion.

    所以我有點看全年的數字 - 你正在指導高達 600 億美元到 700 億美元。

  • So that would sort of imply that the back half of the year has to be, at least for the industry, has to be down pretty substantially off of where the first half of the year is.

    因此,這在某種程度上意味著,至少對於該行業而言,下半年必須與上半年相比大幅下降。

  • Or at least off of where Q1 is running.

    或者至少在 Q1 運行的地方之外。

  • So I'm just kind of wondering if you can comment on that and sort of like double-click on that.

    所以我只是想知道你是否可以對此發表評論並有點像雙擊它。

  • And then I have a follow-up.

    然後我有一個跟進。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes, see, I'm not going to unpeel the whole number.

    是的,你看,我不會揭開整個數字的皮。

  • So we do -- and I can't go through all the numbers as I sit here that you just spit out.

    所以我們這樣做了——當我坐在這裡時,我無法處理你剛剛吐出的所有數字。

  • I'm sure you're doing the right math or close.

    我敢肯定你做正確的數學或關閉。

  • As we look into the year, it does look like a first half-weighted year.

    當我們展望這一年時,它確實看起來像是上半年的加權年份。

  • Things move around.

    事情四處走動。

  • Things change.

    事情會改變的。

  • I think probably NAND is first half-weighted.

    我認為 NAND 可能是前半加權的。

  • I think foundry/logic probably a little bit also.

    我認為代工/邏輯可能也有點。

  • And I think DRAM probably through the years is fairly steady.

    而且我認為 DRAM 多年來可能相當穩定。

  • Things move around, things change.

    世事變遷,世事變遷。

  • We always have pretty good visibility at this point into the first half, and the second half is far enough away that it can move around.

    在這一點上,我們總是有很好的能見度到上半場,下半場足夠遠,它可以四處走動。

  • So that's why we kind of try to put some ranges.

    所以這就是為什麼我們嘗試設置一些範圍。

  • You guys will do the math to kind of think it through.

    你們會做數學來考慮清楚。

  • I don't know if I'm helping here, but that's generally what I'm seeing and how I'm thinking about.

    我不知道我是否在這裡提供幫助,但這通常是我所看到的以及我的想法。

  • Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

    Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

  • Okay.

    好的。

  • Cool.

    涼爽的。

  • And then, I guess, my second question is on NAND.

    然後,我想,我的第二個問題是關於 NAND。

  • So it looks like CapEx is going to run above EBITDA this year for the industry.

    因此,看起來今年該行業的資本支出將超過 EBITDA。

  • I mean you're going to -- the industry probably does $19 billion in NAND.

    我的意思是你會——這個行業可能在 NAND 上做 190 億美元。

  • I don't know if you'd agree with that, $19 billion WFE this year.

    我不知道你是否同意這一點,今年 190 億美元的 WFE。

  • So that's pretty substantially above the $14 billion run rate that you get when you divide your $70 billion by $5 billion.

    因此,這大大高於將 700 億美元除以 50 億美元所得的 140 億美元運行率。

  • So I guess when you take those 2 factors and you look at CapEx being above EBITDA, usually, that's not all that sustainable.

    所以我想當你考慮這兩個因素並且你看到資本支出高於 EBITDA 時,通常情況下,這並不是那麼可持續。

  • So I guess, what's the tone of your customers?

    所以我想,你的客戶的語氣是什麼?

  • Do you -- does that concern you at all?

    你——這和你有關係嗎?

  • Just sort of curious on that.

    只是有點好奇。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Tim, when you look at this, it will go up, it will go down.

    蒂姆,當你看到這個時,它會上升,它會下降。

  • Nothing goes up every quarter.

    每個季度都沒有上漲。

  • It ebbs and it flows.

    它退潮,它流動。

  • And if you go back to '19, it was pretty low.

    如果你回到 19 年,那是相當低的。

  • We go through '20, it went up a little bit.

    我們經歷了'20,它上升了一點。

  • '21, flat to up a little bit?

    '21,平漲一點?

  • Maybe, yes, probably.

    也許,是的,也許。

  • And then it will course-correct based on whatever demand looks like.

    然後它會根據任何需求進行修正。

  • I mean when we step back to -- and the reason Tim and I both talked about these long-term demand drivers is that the important thing to think about over the next several years for the industry.

    我的意思是當我們退後一步時 - 蒂姆和我都談到這些長期需求驅動因素的原因是未來幾年該行業需要考慮的重要事情。

  • It won't go up every quarter.

    它不會每個季度都上漲。

  • It never does.

    它永遠不會。

  • You know that better than I do or as well as I do.

    你比我清楚或和我一樣清楚這一點。

  • And timing of fab investment, when things come in, it will go up, it will go down.

    晶圓廠投資的時機,當有東西進來時,它會上升,它會下降。

  • That is what always happens and is what will happen in 2021, most likely.

    這就是總是發生的事情,而且很有可能在 2021 年發生。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes.

    是的。

  • And I think if I were just to add, Tim, I mean it's -- the absolute spending in any given year is somewhat out of our control.

    我想如果我只是補充一點,蒂姆,我的意思是——任何一年的絕對支出都在某種程度上超出了我們的控制。

  • But I mean what we do control is how we continue to expand share of every dollar of WFE spend.

    但我的意思是我們控制的是我們如何繼續擴大每一美元 WFE 支出的份額。

  • And that's why I talked about how we've leveraged the learning we're getting from being the company that runs the vast majority of the critical applications in NAND to identify new opportunities and new applications and grow into those.

    這就是為什麼我談到了我們如何利用我們作為一家在 NAND 中運行絕大多數關鍵應用程序的公司所學到的知識來發現新的機會和新的應用程序並成長為這些。

  • So at the next node, our share of WFE goes higher.

    所以在下一個節點,我們的 WFE 份額會更高。

  • And yes, I talked about Striker FE, the ALD tool for gapfill, brand new application for us, competes in the space where we didn't compete before.

    是的,我談到了 Striker FE,它是用於間隙填充的 ALD 工具,對我們來說是全新的應用程序,它在我們以前沒有競爭過的空間中競爭。

  • And as -- node transitions occur into the future means that our share of WFE increases.

    隨著——節點轉換發生在未來,意味著我們在 WFE 中的份額會增加。

  • It's also important to remember that our share of every dollar of WFE spent on node transitions actually is the highest.

    同樣重要的是要記住,我們在節點轉換上花費的每一美元 WFE 中的份額實際上是最高的。

  • And that's simply because of the role that etch and deposition play in those transitions.

    這僅僅是因為蝕刻和沈積在這些轉變中所起的作用。

  • So if you end up in a year, let's say, and I'm not characterizing any given year in this way, but if you end up in a year where you do have lots of wafer starts, people become concerned that, that might be just bit of overheating with new capacity.

    因此,假設您在一年內結束,我不會以這種方式描述任何給定的年份,但是如果您在確實有很多晶圓開始的一年結束,人們會擔心,這可能是只是有點過熱與新容量。

  • But actually we look at it as adding to what we would consider to be kind of our 3D annuity -- the 3D NAND annuity, which says the installed base is larger, which means that the next transition, Lam will get an even greater share of the spending that is required to move that entire 3D NAND installed base forward to the next node.

    但實際上,我們將其視為對我們認為的 3D 年金的補充——3D NAND 年金,表示安裝基數更大,這意味著下一次過渡,Lam 將獲得更大的份額將整個 3D NAND 安裝基礎轉移到下一個節點所需的支出。

  • So as Doug said, any given year, something happens.

    正如道格所說,任何一年,都會發生一些事情。

  • But in the long-term trend, we think Lam's opportunity continues to grow with 3D NAND.

    但從長期趨勢來看,我們認為 Lam 的機會隨著 3D NAND 繼續增長。

  • Operator

    Operator

  • We'll now hear from B.J. (sic) [C.

    我們現在將聽到 B.J.(原文如此)[C.

  • J.] Muse with Evercore.

    J.] 與 Evercore 的繆斯。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • Question 3 on CSBG business.

    關於 CSBG 業務的問題 3。

  • Is your commentary on the first half-weighted year reflective for that business as well?

    您對上半年加權年度的評論是否也反映了該業務?

  • And as part of that, if I hold the business just flat at Q4 level, that business could run about 68% in calendar '21.

    作為其中的一部分,如果我將業務保持在第四季度的水平,那麼該業務在 21 年日曆中可能會運行約 68%。

  • So how should we think about the growth rate this year?

    那麼我們應該如何看待今年的增長率呢?

  • And then I have a quick follow-up.

    然後我有一個快速跟進。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes.

    是的。

  • C.J., my commentary on first half, second half was very much targeted at WFE, not necessarily installed base.

    C.J.,我對上半場和下半場的評論非常針對 WFE,不一定是安裝基數。

  • Now on the installed base won't necessarily grow every single quarter, will grow every single year, like we've been saying.

    就像我們一直在說的那樣,現在安裝基數不一定會每個季度都增長,每年都會增長。

  • We feel really good about where we're at, though.

    不過,我們對自己所處的位置感覺非常好。

  • I mean it's -- we're record after record.

    我的意思是——我們在一個又一個記錄。

  • And Tim shared the chamber count with you.

    蒂姆和你分享了房間數。

  • It's up kind of like it's been up over the last several years.

    它有點像過去幾年一直在上升。

  • So the tailwind there is really very good.

    所以那裡的順風真的非常好。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes.

    是的。

  • And I guess I'd just add, at our Investor Day, we talked about the goal we had to expand the number of products and services available for the installed base as a way of increasing revenue per chamber.

    我想我想補充一下,在我們的投資者日,我們談到了我們必須擴大可用於已安裝基礎的產品和服務數量的目標,作為增加每個會議室收入的一種方式。

  • And I think you've seen our progress in that area in this past year.

    我想你已經看到了我們在過去一年中在該領域取得的進展。

  • And we'd expect that to continue to increase going forward as we focus on equipment intelligence and remote services and a lot of database productivity enhancers.

    隨著我們專注於設備智能和遠程服務以及許多數據庫生產力增強器,我們預計這種情況會繼續增加。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • That's great.

    那太棒了。

  • And as a quick follow-up, on your last call, you talked about how -- given the performance of manufacturing in Fremont, you couldn't ship to one large logic player in China.

    作為一個快速跟進,在你上次的電話中,你談到了——鑑於弗里蒙特的製造業表現,你無法向中國的一家大型邏輯公司發貨。

  • Curious if you've been able to get a license.

    好奇你能不能拿到駕照。

  • And as part of that, are you including the spend there in your 2021 domestic China outlook?

    作為其中的一部分,您是否在 2021 年中國國內展望中包括了那裡的支出?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • So where we're at right now, C.J., is we're still in the application process for the license, haven't heard back.

    所以我們現在所處的位置,C.J.,我們仍在申請許可證的過程中,還沒有收到回复。

  • When we look at China, that's plus or minus how we described, flat to up.

    當我們看中國時,這就是我們描述的正負,從平到上。

  • There's a range around it.

    它周圍有一個範圍。

  • But at this point, we haven't heard back on the license we have applied, and we're waiting.

    但在這一點上,我們還沒有收到我們申請的許可證的回复,我們正在等待。

  • Operator

    Operator

  • Next we'll hear from Harlan Sur with JPMorgan.

    接下來,我們將聽取摩根大通的 Harlan Sur 的來信。

  • Harlan Sur - Senior Analyst

    Harlan Sur - Senior Analyst

  • Congratulations on the solid results and execution.

    祝賀取得了紮實的成果和執行。

  • For all of the leading-edge growth trends that you highlighted in your prepared remarks, Tim, there's a corresponding significant attach rate of analog and mixed-signal semiconductors to these applications.

    對於您在準備好的評論中強調的所有前沿增長趨勢,蒂姆,模擬和混合信號半導體與這些應用的連接率相應顯著。

  • Additionally, some of your customers are moving to 12-inch analog manufacturing.

    此外,您的一些客戶正在轉向 12 英寸模擬製造。

  • And then we know that there's pretty significant tightness in foundry capacity for lagging edge 28- and 40-nanometer CMOS.

    然後我們知道,落後的 28 納米和 40 納米 CMOS 的代工能力非常緊張。

  • So approximately -- and obviously, I think you mentioned this is driving some of the strength in your business.

    所以大約 - 很明顯,我認為你提到這正在推動你的業務發展。

  • Approximately what percent of your overall business is lagging-edge technology nodes?

    您的整體業務中大約有百分之多少是落後的技術節點?

  • And do you see this expanding as the year unfolds?

    隨著時間的推移,您是否認為這種情況會擴大?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes.

    是的。

  • We're -- I mean we don't break out exactly what percentage of our business is in that segment.

    我們是 - 我的意思是我們沒有確切地指出我們的業務在該領域的百分比。

  • But I did say we do see that area continuing to grow again.

    但我確實說過,我們確實看到該領域再次繼續增長。

  • And it's -- that's like what we would almost consider to be the strongest secular growing part of our market, because it's being driven by almost every aspect of the economy in terms of the types of products that semiconductor is going into that are manufactured at those trailing-edge nodes.

    這就像我們幾乎認為是我們市場中最強勁的長期增長部分,因為就半導體進入的產品類型而言,它幾乎受到經濟的各個方面的驅動後沿節點。

  • And so we just -- we've seen -- I don't -- maybe -- I think it's something like -- I could get it wrong.

    所以我們只是 - 我們已經看到 - 我沒有 - 也許 - 我認為這就像 - 我可能會弄錯。

  • It's 8 or 9 quarters, I think, in a row that we've now had reported record revenues in that trailing-edge space.

    我認為,我們現在已經連續 8 或 9 個季度報告了該後緣領域的創紀錄收入。

  • So one, we feel like we know how to compete and address that market.

    因此,我們覺得我們知道如何競爭和解決這個市場。

  • And we think that it's going to be just one that just continues to grow, maybe not quarter over quarter over quarter, but records every quarter.

    而且我們認為它只會繼續增長,可能不是一個季度一個季度一個季度,而是每個季度都有記錄。

  • But certainly, year to year to year, it will continue to be an area of strength for us.

    但可以肯定的是,年復一年,它將繼續成為我們的優勢領域。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • And Harlan, I'll just remind you what we said back at the Investor Day in March and still believe that this segment of WFE will outgrow the rest of the market by, I don't know, 2x, maybe 3x, although the leading-edge stuff has ticked up since then.

    還有哈倫,我只是提醒你我們在 3 月的投資者日上說過的話,我仍然相信 WFE 的這一部分將超過市場的其他部分,我不知道,2 倍,也許 3 倍,儘管領先從那時起,邊緣的東西就開始上漲了。

  • But it's still a very good growth area for us.

    但這對我們來說仍然是一個非常好的增長領域。

  • Harlan Sur - Senior Analyst

    Harlan Sur - Senior Analyst

  • Yes.

    是的。

  • And then to kind of follow-up on C.J.'s question, in calendar year '20, as you mentioned, services grew 22%.

    然後對 C.J. 的問題進行跟進,正如你所提到的,在 20 日曆年,服務增長了 22%。

  • That's not only double your installed base growth CAGR over the past few years, but it's double the trend line target of around 10% as it relates to your 2023, 2024 financial model.

    這不僅是過去幾年安裝基數增長 CAGR 的兩倍,而且是與 2023 年、2024 年財務模型相關的 10% 左右的趨勢線目標的兩倍。

  • And if you just look at the continued complexity on these next-generation leading-edge tools, the strong demand for lagging-edge nodes, it appears that the service business is going to continue to grow faster than this 10% growth target that you guys have put out there, upgrades, advanced services, Reliant refurbished tools.

    如果你只看這些下一代前沿工具的持續複雜性,對落後節點的強勁需求,服務業務的增長似乎將繼續超過你們 10% 的增長目標在那裡推出了升級、高級服務、Reliant 翻新工具。

  • So would you broadly agree with that?

    那麼你會大體上同意這一點嗎?

  • Or are there some offsets that we should be thinking about that could dampen the year-over-year services trajectory back to that kind of normalized kind of 10% to 12% level?

    或者是否有一些我們應該考慮的抵消措施可能會抑制同比服務軌跡回到那種正常化的 10% 到 12% 的水平?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes.

    是的。

  • I don't think we're ready to up our long-term objective just yet.

    我認為我們還沒有準備好實現我們的長期目標。

  • But I think you're pointing out some of the things that are doing quite well in that part of the business around advanced services.

    但我認為您指出了一些在圍繞高級服務的業務中做得很好的一些事情。

  • And we talked about a 6x increase in remote support engagements.

    我們談到遠程支持參與度增加了 6 倍。

  • I think if we look, one, we put out that model at Investor Day.

    我認為,如果我們看一看,我們會在投資者日推出該模型。

  • As I mentioned, as COVID -- as the COVID environment kind of evolved, some of the advanced services, the database services, the pull for the idea of, like, less people-related maintenance using data and remote capabilities, clearly caught a lot more traction in the second half of the year.

    正如我所提到的,作為 COVID —— 隨著 COVID 環境的發展,一些高級服務、數據庫服務、使用數據和遠程功能減少與人員相關的維護的想法顯然吸引了很多人下半年的牽引力更大。

  • We need to see how much of that sticks as we kind of come out of this environment.

    當我們從這種環境中走出來時,我們需要看看有多少能堅持下去。

  • But we believe that those things are -- those capabilities are now trying and demonstrating their value, and a lot of that will stay.

    但我們相信這些東西是——這些能力現在正在嘗試並展示它們的價值,其中很多將保持不變。

  • Those will be strong drivers.

    這些將是強大的驅動力。

  • The other thing that happened in 2020 is there probably were some instances of spares being ordered a bit ahead of normal trend, as everybody, including LAM, you see in some of our inventory numbers, tried to hedge against disruptions due to COVID-19.

    2020 年發生的另一件事是,有些備件的訂購可能比正常趨勢稍早,因為您在我們的一些庫存數據中看到的每個人,包括 LAM,都試圖對沖 COVID-19 造成的中斷。

  • And so you might see some moderation if you're looking for offsets in that space.

    因此,如果您正在尋找該空間中的偏移量,您可能會看到一些節制。

  • So we feel, as Doug said, very comfortable about hitting the objective we put out at the Investor Day.

    因此,正如道格所說,我們對實現我們在投資者日提出的目標感到非常自在。

  • But we're not ready to set a new growth target for that business until we see a little bit more of the trend this year.

    但在我們看到今年的更多趨勢之前,我們還沒有準備好為該業務設定新的增長目標。

  • Operator

    Operator

  • We'll move on to Vivek Arya with Bank of America.

    我們將繼續與美國銀行一起討論 Vivek Arya。

  • Vivek Arya - Director

    Vivek Arya - Director

  • For the first one, I'm curious, what do you think is the right way to gauge the utilization of your NAND shipments last year?

    對於第一個問題,我很好奇,您認為衡量去年 NAND 出貨量利用率的正確方法是什麼?

  • Is there some engagement with those customers on the CSBG side that gives you insight into what type installed base utilization is?

    是否與 CSBG 方面的這些客戶進行了一些互動,讓您深入了解已安裝的基礎利用率是什麼類型?

  • Because I imagine the NAND number, at least to us, was somewhat of an upside surprise, and I just wanted to understand what's driving that.

    因為我認為 NAND 的數量,至少對我們來說,有點令人驚訝,我只是想了解是什麼推動了這一點。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, I guess, if I understand the question about -- you're kind of asking how much the tools that we've shipped are being utilized in our customers' fabs, and that's not something I can really comment on.

    好吧,我想,如果我理解這個問題——你有點想問我們交付的工具有多少被客戶的晶圓廠使用了,這不是我真正可以評論的事情。

  • Obviously, through our engagement with customers, we have good insight into that, but it's not something we can really talk about.

    顯然,通過與客戶的接觸,我們對此有很好的洞察力,但這不是我們真正可以談論的事情。

  • If I misunderstood the question maybe...

    如果我誤解了這個問題,也許......

  • Vivek Arya - Director

    Vivek Arya - Director

  • Or maybe if -- Tim, what do you think is a supply-demand balance for NAND right now among your customers?

    或者如果——蒂姆,你認為現在你的客戶對 NAND 的供需平衡是什麼?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, I think we -- I think if you consider that we talked about further strength in the NAND market into 2021, I would say that there is a sense that more equipment is needed to bring on additional capabilities in NAND at this point in time, for sure.

    好吧,我認為我們——如果你考慮到我們談到 2021 年 NAND 市場的進一步實力,我想說的是,目前需要更多的設備來在 NAND 中帶來額外的功能, 一定。

  • Vivek Arya - Director

    Vivek Arya - Director

  • Got it.

    知道了。

  • And as a quick follow-up, Doug, I think you alluded to some cost headwinds from airfreight.

    作為快速跟進,Doug,我認為你提到了空運帶來的一些成本阻力。

  • Some of your semiconductor peers, I think, have managed to kind of pass on increasing costs in other areas, foundries and wafers and substrates and so forth.

    我認為,你們的一些半導體同行已經設法轉嫁了其他領域、代工廠、晶圓和基板等不斷增加的成本。

  • Do you think this is the kind of cost you could pass on?

    你認為這是你可以轉嫁的成本嗎?

  • I'm trying to think, how do you go from your operating margins right now to the 32% to 34% kind of range you had outlined at your Analyst Day at similar level of annualized sales?

    我在想,在類似的年化銷售額水平下,您如何從現在的營業利潤率上升到您在分析師日概述的 32% 到 34% 的範圍?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes, Vivek.

    是的,維維克。

  • I mean we're always trying to get the best pricing that we can get.

    我的意思是我們一直在努力獲得我們能得到的最好的價格。

  • It's hard at times, though, to kind of pass stuff like this through.

    但是,有時很難通過這種方式。

  • We're doing our best.

    我們正在盡力而為。

  • And it is a bit of a headwind.

    這有點逆風。

  • So I guess we're not able to push it through at this point.

    所以我想我們目前無法推動它。

  • I do think this part of things will mitigate at some point as the world gets back to normal and freight lanes get back to normal.

    我確實認為隨著世界恢復正常和貨運航線恢復正常,這部分事情會在某個時候得到緩解。

  • Things are just constrained right now.

    事情只是現在受到限制。

  • We fly things in and out of our factory a lot.

    我們經常把東西運進和運出我們的工廠。

  • Oftentimes -- at least, we used to on the belly of a commercial aircraft carrier at times, and they're just not flying at the volume that they used to be.

    很多時候——至少,我們過去常常坐在商業航空母艦的腹部,它們只是沒有以過去的體積飛行。

  • I do think that could stack at some point once we get COVID under control in the world.

    我確實認為,一旦我們在世界範圍內控制了 COVID,這可能會在某個時候疊加。

  • Vivek Arya - Director

    Vivek Arya - Director

  • Any way to quantify the headwind, Doug?

    有什麼方法可以量化逆風,道格?

  • Is it like 100 basis points to gross margin, 50 basis points?

    毛利率是 100 個基點,還是 50 個基點?

  • Any...

    任何...

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes, Vivek , I haven't given a hard number, but I would tell you, it's noticeable.

    是的,Vivek,我沒有給出確切的數字,但我會告訴你,這很明顯。

  • It's meaningful.

    這很有意義。

  • It's a meaningful headwind, or I wouldn't be talking about it.

    這是一個有意義的逆風,否則我不會談論它。

  • And we're doing our best to manage it, and I do believe it will get better over time.

    我們正在盡最大努力管理它,我相信隨著時間的推移它會變得更好。

  • But I haven't quantified it.

    但我沒有量化它。

  • Operator

    Operator

  • Next we'll hear from Joe Moore with Morgan Stanley.

    接下來,我們將聽取摩根士丹利的喬·摩爾的來信。

  • Joseph Lawrence Moore - Executive Director

    Joseph Lawrence Moore - Executive Director

  • You talked about the drivers of the higher WFE in calendar '21.

    您談到了 21 年日曆中更高 WFE 的驅動因素。

  • You talked about migration to higher layer counts in NAND as well as DRAM and foundry.

    您談到遷移到 NAND 以及 DRAM 和代工廠的更高層數。

  • I guess in the NAND side, does that mean you think NAND spending is higher for the year?

    我猜在 NAND 方面,這是否意味著您認為今年 NAND 的支出更高?

  • Or are you not going to go that far?

    還是你不會走那麼遠?

  • And then for DRAM, it seems like the economics are improving.

    然後對於 DRAM,經濟性似乎正在改善。

  • Do you think that's -- were people spending sort of independent of that, just thinking maybe you get a technology migration?

    你認為那是——人們是否在某種程度上獨立於這些,只是想著也許你會進行技術遷移?

  • Or have you seen sort of stronger spending, because the market has been stabilizing and improving?

    或者您是否看到了某種更強勁的支出,因為市場一直在穩定和改善?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes, Joe, I'll start and then I'll let Tim add on as well.

    是的,喬,我會開始,然後我也會讓蒂姆補充。

  • As we look into 2021 right now, pretty much we see every segment of our business up, right?

    當我們現在展望 2021 年時,我們幾乎看到我們業務的每個部分都在增長,對吧?

  • It's up in foundry/logic, it's up in NAND, it's up in DRAM to different degrees.

    它在代工廠/邏輯中,它在 NAND 中,它在不同程度上在 DRAM 中。

  • And like I kind of alluded to earlier, things can change, but that's our outlook right now.

    就像我之前提到的那樣,事情可能會發生變化,但這就是我們現在的看法。

  • And again, we see these longer-term demand drivers as a large part of what's going on.

    同樣,我們將這些長期需求驅動因素視為正在發生的事情的很大一部分。

  • I don't know, Tim, if you want to add anything.

    我不知道,蒂姆,如果你想添加任何東西。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • No, I think you pretty much got it.

    不,我想你已經明白了。

  • I mean I think if you -- you started the question about NAND.

    我的意思是我認為如果你——你開始了關於 NAND 的問題。

  • I mean, again, it's -- again, this layer transition is a way for customers to reduce their cost and -- but those transitions are complex from an etch and dep perspective, so creating a lot of demand for our tools to help enable those transitions.

    我的意思是,再一次,這是 - 再次,這種層轉換是客戶降低成本的一種方式 - 但從蝕刻和深度的角度來看,這些轉換是複雜的,因此對我們的工具產生了很多需求來幫助實現這些過渡。

  • Joseph Lawrence Moore - Executive Director

    Joseph Lawrence Moore - Executive Director

  • And to the extent that a lot of the NAND spending is on layer count migration rather than adding incremental wafers, I mean, does that -- wouldn't Lam normally outgrow the WFE in that kind of environment, assuming there isn't like a lot of capacity being added in NAND.

    並且在一定程度上,大量的 NAND 支出用於層數遷移而不是增加晶圓,我的意思是,在那種環境下,Lam 通常不會超過 WFE,假設沒有像NAND中增加了很多容量。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes, Joe.

    是的,喬。

  • And the conversion, as Tim alluded to earlier, when you're just doing the layer count conversion, that's a sweet spot for us in terms of the percent of spend.

    正如蒂姆之前提到的那樣,當您只是進行層數轉換時,轉換對於我們來說是支出百分比的最佳選擇。

  • So the answer is yes, with the caveat that everybody's installed base is a little bit different.

    所以答案是肯定的,但需要注意的是每個人的安裝基礎都有點不同。

  • There's always a handful of new wafers coming in.

    總是有一些新的晶圓進來。

  • You've got to kind of peel the onion back to the next layer and look at what's going on in any one period.

    你必須把洋蔥剝到下一層,看看在任何一個時期發生了什麼。

  • But I would agree with your comment that in a layer count conversion, that's a good spot for us.

    但我同意您的評論,即在層數轉換中,這對我們來說是個好地方。

  • Operator

    Operator

  • Toshiya Hari with Goldman Sachs has the next question.

    高盛的 Toshiya Hari 提出了下一個問題。

  • Toshiya Hari - MD

    Toshiya Hari - MD

  • Congrats on the strong results.

    恭喜取得了不錯的成績。

  • I had 2 as well.

    我也有2個。

  • My first one is somewhat related to Joe's question.

    我的第一個問題與喬的問題有些相關。

  • Wanted to get your thoughts on your ability to outperform WFE in 2021, Tim.

    蒂姆,想了解您對 2021 年超越 WFE 的能力的看法。

  • Given sort of the application wins that you have in the bag and given sort of the device-type mix that you guys are assuming internally, would it be fair to assume kind of a similar magnitude of outperformance in your systems business in 2021 vis-à-vis 2020?

    鑑於您在包中獲得的應用程序類型以及您在內部假設的某種設備類型組合,假設您的系統業務在 2021 年相對於- 到 2020 年?

  • Or do you think 2020 was a little bit unique, given how strong NAND was in the year.

    或者你認為 2020 年有點獨特,因為 NAND 在這一年有多麼強大。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • That's a lot of information you're asking for.

    你要的信息太多了。

  • As we look -- I mean, Doug just mentioned, I mean, clearly, we see strength across all parts of the business.

    正如我們所看到的 - 我的意思是,道格剛剛提到,我的意思是,很明顯,我們看到了業務各個部分的實力。

  • I mean NAND expansion is clearly good for us.

    我的意思是 NAND 擴展顯然對我們有好處。

  • We have, as I mentioned in my comments, more than 50% share in DRAM etch.

    正如我在評論中提到的,我們在 DRAM 蝕刻中佔有超過 50% 的份額。

  • We're expanding our deposition.

    我們正在擴大我們的沉積。

  • So DRAM is good.

    所以DRAM是好的。

  • Foundry/logic is an area where, obviously, from an exposure perspective, Lam has -- it's been less in the past, but we've talked about our improvements there.

    顯然,從曝光的角度來看,代工/邏輯是一個領域,Lam 擁有——過去較少,但我們已經討論了我們在那裡的改進。

  • And so I think even there as the nodes move forward, some of these new products we're talking about, whether it's dry resist, that might not be a '21 story, maybe -- but more of a '22 and beyond story.

    所以我認為,即使隨著節點向前發展,我們正在談論的一些新產品,無論是乾抗蝕劑,這可能不是 21 年的故事,也許——但更多的是 22 年及以後的故事。

  • But we're working hard to increase our SAM as a percent of WFE.

    但我們正在努力提高我們的 SAM 佔 WFE 的百分比。

  • And so I guess what I'd just say is, in terms of outperformance, you've got to go back to what we said at Investor Day, which is the way -- our path to outperformance is to expand our SAM.

    所以我想我要說的是,就表現而言,你必須回到我們在投資者日所說的,這就是方式——我們實現卓越表現的途徑是擴大我們的 SAM。

  • And coming from where we are in the high 30s, we said we're going to get to 40% SAM as a percent of WFE.

    從我們 30 多歲的時候來看,我們說我們將達到 40% 的 SAM 作為 WFE 的百分比。

  • That's increasing our opportunity.

    這增加了我們的機會。

  • And then we do think with new products like the Vantex and Sense.i and other products that are kind of in the pipeline to come later this year, those will be market share drivers for us.

    然後我們確實認為 Vantex 和 Sense.i 等新產品以及今年晚些時候即將推出的其他產品,這些將成為我們的市場份額驅動力。

  • And so combination of SAM expansion and market share gains ultimately lead to continued outperformance of the market.

    因此,SAM 擴張和市場份額增長的結合最終導致市場持續表現出色。

  • Toshiya Hari - MD

    Toshiya Hari - MD

  • Yes.

    是的。

  • That's helpful.

    這很有幫助。

  • And then, Tim, as my follow-up, you just mentioned Vantex, pretty fascinating technology.

    然後,蒂姆,作為我的後續,你剛剛提到了 Vantex,非常迷人的技術。

  • Wondering if you could kind of give us some color on areas or points of differentiation vis-à-vis your nearest competitor in Asia?

    想知道您是否可以在與您在亞洲最接近的競爭對手的領域或差異點上給我們一些顏色?

  • And if you can remind us what your market share aspirations are in dielectric etch over the next couple of years, that would be super-helpful.

    如果您能提醒我們未來幾年您在電介質蝕刻領域的市場份額願望是什麼,那將非常有幫助。

  • Just given how, relatively speaking, you've been stronger in conductor etch, so I think the opportunity set is bigger in dielectric.

    只是考慮到相對而言,您在導體蝕刻方面的能力更強,所以我認為電介質方面的機會更大。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes.

    是的。

  • Okay.

    好的。

  • Great.

    偉大的。

  • Well, just a couple of things.

    好吧,只有幾件事。

  • I mean, one, the Vantex story is really like 2 parts.

    我的意思是,一,Vantex 的故事真的像兩部分。

  • One is, it is the first module on the new Sense.i platform.

    一個是,它是新 Sense.i 平台上的第一個模塊。

  • So again, when you're thinking about Sense.i, you're thinking about tremendous amounts of data collection and using that not only to improve the productivity of the platform and the maintenance and such, but also process control.

    同樣,當您考慮 Sense.i 時,您正在考慮大量數據收集並使用它來提高平台和維護等的生產力,以及過程控制。

  • And as you look at now in that dielectric high aspect ratio etch, which is where Vantex is targeted, those etches are becoming incredibly difficult, both in NAND and DRAM, where that product is really targeted.

    正如你現在在電介質高縱橫比蝕刻中看到的那樣,這是 Vantex 的目標,這些蝕刻變得非常困難,無論是在 NAND 和 DRAM 中,都是該產品的真正目標。

  • We've leveraged the learning from all of those wafers I talked about.

    我們已經利用了從我談到的所有這些晶圓中學到的東西。

  • We've been running relative to the competition in 3D NAND to really understand what it takes to build the world-class high aspect ratio etch, and that's what we think we've delivered to the market.

    我們一直在與 3D NAND 的競爭進行比較,以真正了解構建世界級的高縱橫比蝕刻需要什麼,這就是我們認為我們已經交付給市場的東西。

  • We do really well in dielectric etch, quite honestly, in both DRAM and NAND already.

    老實說,我們在電介質蝕刻方面做得非常好,在 DRAM 和 NAND 中都已經做到了。

  • But we're not going to -- we haven't quantified, I believe, our dielectric etch ambition.

    但我們不會——我相信,我們還沒有量化我們的電介質蝕刻野心。

  • But clearly, it's higher in years to come.

    但很明顯,未來幾年它會更高。

  • And we think Vantex on Sense.i is the platform to do that.

    我們認為 Sense.i 上的 Vantex 是實現這一目標的平台。

  • Operator

    Operator

  • We'll now hear from Blayne Curtis with Barclays.

    我們現在將聽取 Blayne Curtis 與巴克萊的消息。

  • Blayne Peter Curtis - Director & Senior Research Analyst

    Blayne Peter Curtis - Director & Senior Research Analyst

  • I just wanted to go back, the last quarter, you talked about -- obviously, you didn't want to guide WFE, so you gave us some guideposts.

    我只是想回到上個季度,你談到了——顯然,你不想指導 WFE,所以你給了我們一些指導。

  • And obviously, DRAM was the strongest.

    顯然,DRAM 是最強的。

  • Now fast forward, we've all seen big foundry CapEx numbers, but your NAND came in strong as well.

    現在快進,我們都看到了大的代工廠資本支出數字,但你的 NAND 也很強勁。

  • Can you talk us through, over the last 3 months, what kind of improved for you?

    你能告訴我們,在過去的 3 個月裡,你有什麼樣的進步嗎?

  • I know you don't want to spell some purchases for the year, but just trying to still get a better feel as to what you're expecting between those 2 segments.

    我知道您不想拼寫今年的一些購買,但只是想更好地了解您在這兩個細分市場之間的期望。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • I don't know, Blayne.

    我不知道,布萊恩。

  • Obviously, one large foundry customer upsized their CapEx.

    顯然,一家大型代工廠客戶增加了他們的資本支出。

  • I think everybody understands what happened there.

    我想每個人都明白那裡發生了什麼。

  • I don't think any of us saw the totality of that coming.

    我認為我們中的任何人都沒有看到即將到來的全部。

  • So clearly, that was a bit of the upside.

    很明顯,這有點好處。

  • Maybe that would be the only thing I would specifically point to.

    也許這將是我要特別指出的唯一一件事。

  • Blayne Peter Curtis - Director & Senior Research Analyst

    Blayne Peter Curtis - Director & Senior Research Analyst

  • Got you.

    得到你。

  • And then just back on gross margin, obviously, you have the higher freight cost.

    然後回到毛利率,顯然,你有更高的運費。

  • You're guiding it down a bit, revenue up.

    你把它引導下來一點,收入增加了。

  • Can you just kind of walk us through the March guide and then just opportunities for leverage, either on gross margin or even on OpEx as you look through the rest of the year?

    您能否簡單介紹一下 3 月份的指南,然後在您查看今年餘下的時間時提供槓桿機會,無論是毛利率還是運營支出?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes, Blayne, thanks for the question.

    是的,Blayne,謝謝你的提問。

  • I think you know and everybody knows, this isn't really a huge fixed cost business.

    我想你知道,每個人都知道,這並不是一個真正的巨大固定成本業務。

  • So when revenue goes up, it matters, but it's not what matters as much as in -- like our customers' business.

    因此,當收入增加時,這很重要,但這並不像我們客戶的業務那樣重要。

  • Product mix matters, customer mix matters.

    產品組合很重要,客戶組合很重要。

  • Customer concentration moves gross margin around.

    客戶集中度會左右毛利率。

  • That's happening probably a little bit in the March quarter, a little bit of a headwind.

    這可能在三月季度發生了一點,有點逆風。

  • If I think about the longer-term, getting to that financial model that we put out for '23, 2 things I would point you to on the gross margin and operating income for that matter.

    如果我從長遠考慮,考慮到我們為 23 年推出的財務模型,我會在毛利率和營業收入方面指出兩件事。

  • One is this freight headwind that we're dealing with.

    一個是我們正在處理的貨運逆風。

  • Second, I referred to ramping the factory in Malaysia.

    其次,我提到了在馬來西亞擴建工廠。

  • That's going to be a somewhat more efficient, a little bit bigger factory, a little bit more cost-efficient factories.

    這將是一個更有效率、更大一點的工廠、更具成本效益的工廠。

  • So there's some upside that we're going to see in gross margin there.

    因此,我們將在那裡看到毛利率的一些上升空間。

  • And that's really from where we are today to where we're trying to get to or where we're going to get to what gets you there.

    這真的是從我們今天的位置到我們試圖到達的地方,或者我們將要到達的地方,是什麼讓你到達那裡。

  • Operator

    Operator

  • Our next question will come from Atif Malik with Citi.

    我們的下一個問題將來自 Atif Malik 和 Citi。

  • Atif Malik - Research Analyst

    Atif Malik - Research Analyst

  • Tim, you guys have seen strong growth in 3D devices, particularly in NAND area, and are announcing the new technology for high aspect ratio.

    Tim,你們已經看到 3D 設備的強勁增長,特別是在 NAND 領域,並且正在宣布高縱橫比的新技術。

  • My question is on the logic side.

    我的問題是在邏輯方面。

  • As the logic devices are now moving in 3 dimensions, horizontal, nanosheet, the 3-nanometer Korean foundry this year, how does that impact the deposition and etch opportunity?

    由於邏輯器件現在正在向 3 維、水平、納米片、3 納米韓國代工廠移動,這對沉積和蝕刻機會有何影響?

  • And should we be looking at that as a major inflection?

    我們是否應該將其視為重大轉折?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • I would hope you would be.

    我希望你會。

  • We've been talking about really -- at some point along the road maps, every device ultimately is inflecting to 3D, simply because that's how you get continued scaling.

    我們一直在談論——在路線圖的某個時刻,每台設備最終都會轉向 3D,僅僅是因為這就是你獲得持續縮放的方式。

  • And so I talked about our KIYO GX tool.

    所以我談到了我們的 KIYO GX 工具。

  • One of the -- you start seeing new types of technologies come in, in the etch and also deposition space.

    其中之一——你開始看到新類型的技術出現在蝕刻和沈積領域。

  • I mentioned that tool with its atomic layer etch capabilities, those tools are well suited to the types of 3D devices you're going to see in nanosheet or nanowire architectures.

    我提到了具有原子層蝕刻功能的工具,這些工具非常適合您將在納米片或納米線架構中看到的 3D 設備類型。

  • There will also be other tools, which we haven't really talked about so publicly, that they are in the hands of our customers around selective etch that will become much more prevalent within 3D logic/foundry devices, and then a whole of new deposition films that also help.

    還有其他工具,我們還沒有真正公開談論過,它們掌握在我們的客戶手中也有幫助的電影。

  • I mentioned some of the RC and such.

    我提到了一些 RC 等。

  • So yes, I think it's an area where we can continue to expand our SAM by catching kind of those 3D inflections in logic and foundry.

    所以是的,我認為這是一個我們可以通過捕捉邏輯和鑄造中的那些 3D 變化來繼續擴展我們的 SAM 的領域。

  • And our R&D that Doug talked about is really targeted a lot towards growing our opportunity in that space.

    Doug 談到的我們的研發實際上主要針對增加我們在該領域的機會。

  • Atif Malik - Research Analyst

    Atif Malik - Research Analyst

  • Great.

    偉大的。

  • And Doug, you have heard about supply constraints in chips and printed circuit boards.

    還有道格,你聽說過芯片和印刷電路板的供應限制。

  • Is the availability of a chip impacting your capability to (inaudible) for your customers?

    芯片的可用性是否會影響您為客戶(聽不清)的能力?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes.

    是的。

  • In any supply chain as complex as ours is with as many suppliers as we have, there's always something that you're working your way through.

    在任何像我們這樣複雜的供應鏈中,有盡可能多的供應商,總有一些事情你正在努力解決。

  • And certainly, there's a handful of those things today, given volume is inflecting up.

    當然,今天有一些這樣的事情,因為數量正在上升。

  • But we're managing through it pretty well.

    但我們管理得很好。

  • Tina Correia - Corporate VP of IR & Corporate Finance

    Tina Correia - Corporate VP of IR & Corporate Finance

  • Operator, we have time for one more question, please.

    接線員,我們有時間再問一個問題。

  • Operator

    Operator

  • Our final question will come from Joe Quatrochi with Wells Fargo.

    我們的最後一個問題將來自富國銀行的 Joe Quatrochi。

  • Joseph Michael Quatrochi - Associate Analyst

    Joseph Michael Quatrochi - Associate Analyst

  • On your expectations for domestic China being flat to up, how are you thinking about the efficiency of spending this year as the customers continue to ramp up their technology curves?

    關於您對中國國內從持平到上升的預期,隨著客戶不斷提陞技術曲線,您如何看待今年的支出效率?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • In China specifically, Joe, is that your question?

    特別是在中國,喬,這是你的問題嗎?

  • Joseph Michael Quatrochi - Associate Analyst

    Joseph Michael Quatrochi - Associate Analyst

  • Yes, for your domestic China customers.

    是的,對於您的中國國內客戶。

  • I think in the past, you talked about there's some level of inefficient spend, just given that they're still kind of learning.

    我認為在過去,你談到了某種程度的低效支出,只是考慮到他們仍在學習。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes.

    是的。

  • Our domestic China customer base is very broad.

    我們在中國國內的客戶群非常廣泛。

  • It's much broader, I think, perhaps than all of you realize.

    我想,它可能比你們所有人都意識到的要廣泛得多。

  • And you've got people at all kinds of different points along ramping technologies.

    而且隨著技術的進步,你已經在各種不同的點上找到了人。

  • Some are -- have been doing it for a really long time.

    有些是 - 已經做了很長時間了。

  • Some are brand new to certain technologies.

    有些對某些技術是全新的。

  • When a customer is new to a technology, it takes a little while to get to an efficient ramp point.

    當客戶不熟悉某項技術時,需要一段時間才能達到有效的爬坡點。

  • So it's a broad set of customers that are at different points, I think, is what I would describe, again, depending on how long they've been doing what they're doing.

    因此,我認為這是我要描述的不同點的廣泛客戶群,這取決於他們做他們正在做的事情的時間長短。

  • Joseph Michael Quatrochi - Associate Analyst

    Joseph Michael Quatrochi - Associate Analyst

  • Okay.

    好的。

  • Fair enough.

    很公平。

  • And then quickly on just the CSBG side.

    然後很快就在 CSBG 方面。

  • How are you thinking about the improvement of memory fab productivity last year contributing to the growth?

    您如何看待去年內存工廠生產力的提高對增長的貢獻?

  • And then how do we think about that this year?

    那麼今年我們如何看待這一點?

  • Because I assume that the spare costs business would be more of a modest contributor to growth for that business this year?

    因為我認為備用成本業務今年對該業務的增長貢獻更大?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Let me think about that question.

    讓我想想這個問題。

  • And I don't know, Tim, if you have anything you want to add.

    我不知道,蒂姆,如果你有什麼想補充的。

  • I mean consumption of spares will ebb and flow across every one of our end markets with utilization.

    我的意思是,備件的消耗將隨著利用率在我們的每一個終端市場中消退。

  • When the utilization is high, spare part consumption is somewhat higher.

    當利用率高時,備件消耗會更高一些。

  • It's just to make sure how -- it's a consumable part, obviously.

    這只是為了確定如何——顯然,它是一個消耗品。

  • So you just go along with volume.

    所以你只需要音量。

  • I don't know if I'm answering your question.

    我不知道我是否在回答你的問題。

  • But that's true in the memory fabs, that's true in foundry and logic as well.

    但在內存晶圓廠中確實如此,在代工廠和邏輯中也是如此。

  • As Tim suggested -- maybe there was a little bit of buy ahead, maybe a little bit, but I don't think that was huge.

    正如蒂姆建議的那樣——也許有一點點買入,也許一點點,但我認為這不是很大。

  • I don't know if...

    我不知道如果...

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • No.

    不。

  • And I don't think that it was something that would be specific to memory.

    而且我不認為這是特定於記憶的東西。

  • But in general, a couple of comments we've made about spare parts, maybe just to comment, is we have always been focused on the critical applications, because critical applications, you have to keep those chambers in very pristine condition in order to be able to deliver the (inaudible) the customer needs.

    但總的來說,我們對備件發表了一些評論,也許只是為了評論,我們是否一直專注於關鍵應用,因為關鍵應用,你必須保持這些腔室處於非常原始的狀態才能成為能夠滿足(聽不清)客戶的需求。

  • They tend to be bigger drivers of spare parts.

    他們往往是備件的更大推動者。

  • And so I think as each of these technology nodes gets more complex, spare parts and the capability of those spares continues to grow.

    所以我認為隨著這些技術節點中的每一個變得越來越複雜,備件和這些備件的能力會繼續增長。

  • That's good for our business.

    這對我們的業務有好處。

  • But the flip side of that, of course, is that's customers' cost.

    但另一方面,當然,這是客戶的成本。

  • And so that's why we are continuously looking for things like the new Sense.i platform to help our customer reduce maintenance cost and running cost of the systems.

    這就是為什麼我們一直在尋找新的 Sense.i 平台之類的東西,以幫助我們的客戶降低系統的維護成本和運行成本。

  • I mentioned Sense.i and Vantex, the first adopters and the first ones to ramp that production are going to be in the memory space, and that's simply because it delivers technology as high productivity.

    我提到了 Sense.i 和 Vantex,首批採用者和第一批增加產量的公司將在內存領域進行,這僅僅是因為它提供了高生產力的技術。

  • And that's really the key.

    這才是真正的關鍵。

  • How do you find that balance of getting the results on the wafer without consuming too many spare parts and without consuming too much of the tool equipment time.

    您如何在不消耗太多備件和不消耗太多工具設備時間的情況下在晶圓上獲得結果之間找到平衡。

  • And I think Lam has become very good at that.

    我認為林在這方面做得非常好。

  • And I think that, again, let me point to the volume of learning we have in high-volume production across NAND and DRAM.

    我認為,讓我再次指出我們在 NAND 和 DRAM 大批量生產方面的學習量。

  • Cost-sensitive applications, I think we may be the best at that.

    對成本敏感的應用程序,我認為我們可能是最擅長的。

  • Operator

    Operator

  • That will conclude today's question-and-answer session.

    今天的問答環節到此結束。

  • I will now turn the call over to Ms. Correia for any additional or closing remarks.

    我現在將把電話轉給 Correia 女士,以獲取任何補充或結束語。

  • Tina Correia - Corporate VP of IR & Corporate Finance

    Tina Correia - Corporate VP of IR & Corporate Finance

  • We just wanted to thank everyone for joining today, and we will talk to you all again soon.

    我們只是想感謝大家今天的加入,我們很快會再次與大家交談。

  • Thank you.

    謝謝你。

  • Operator

    Operator

  • That will conclude today's conference.

    今天的會議到此結束。

  • Thank you for your participation.

    感謝您的參與。

  • You may now disconnect.

    您現在可以斷開連接。