科林研發 (LRCX) 2022 Q1 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Operator

    Operator

  • Good day, ladies and gentlemen, and welcome to the Lam Research Corporation's September quarter earnings call.

    女士們,先生們,美好的一天,歡迎參加 Lam Research Corporation 的 9 月季度財報電話會議。

  • At this time, I would like to turn the conference over to Ms. Tina Correia, Corporate Vice President, Corporate Finance and Investor Relations. Please go ahead.

    在這個時候,我想將會議轉交給公司財務和投資者關係公司副總裁 Tina Correia 女士。請繼續。

  • Tina Correia - Corporate VP of IR & Corporate Finance

    Tina Correia - Corporate VP of IR & Corporate Finance

  • Thank you, and good afternoon, everyone. Welcome to the Lam Research Quarterly Earnings Conference Call. With me today are Tim Archer, President and Chief Executive Officer; and Doug Bettinger, Executive Vice President and Chief Financial Officer.

    謝謝大家,大家下午好。歡迎參加 Lam Research 季度收益電話會議。今天和我在一起的是總裁兼首席執行官 Tim Archer;以及執行副總裁兼首席財務官 Doug Bettinger。

  • During today's call, we will share our overview on the business environment, and we'll review our financial results for the September 2021 quarter and our outlook for the December 2021 quarter. The press release detailing our financial results was distributed a little after 1:00 p.m. Pacific Time this afternoon. The release can also be found on the Investor Relations section of the company's website, along with the presentation slides that accompany today's call.

    在今天的電話會議中,我們將分享我們對商業環境的概述,並將回顧我們 2021 年 9 月季度的財務業績和 2021 年 12 月季度的展望。詳細說明我們財務業績的新聞稿在下午 1:00 後發布。太平洋時間今天下午。該新聞稿還可以在公司網站的投資者關係部分以及今天電話會議隨附的演示幻燈片中找到。

  • Today's presentation and Q&A include forward-looking statements that are subject to risks and uncertainties reflected in the risk factors disclosed in our SEC public filings. Please see accompanying slides in the presentation for additional information.

    今天的演講和問答包括前瞻性陳述,這些陳述受到我們在美國證券交易委員會公開文件中披露的風險因素中反映的風險和不確定性的影響。請參閱演示文稿中的隨附幻燈片以獲取更多信息。

  • Today's discussion of our financial results will be presented on a non-GAAP financial basis unless otherwise specified. A detailed reconciliation between GAAP and non-GAAP results can be found in today's earnings press release.

    除非另有說明,否則今天對我們財務業績的討論將在非公認會計原則財務基礎上進行。可以在今天的收益新聞稿中找到 GAAP 和非 GAAP 結果之間的詳細核對。

  • This call is scheduled to last until 3:00 p.m. Pacific Time. A replay of this call will be made available later this afternoon on our website.

    本次電話會議計劃持續到下午 3:00。太平洋時間。本次電話會議的重播將於今天下午晚些時候在我們的網站上提供。

  • And with that, I'll hand the call over to Tim.

    有了這個,我會把電話交給蒂姆。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Thanks, Tina, and welcome, everyone. Lam delivered a solid September quarter, with revenues in line and earnings per share above the midpoint of our guided ranges. These results represent our sixth consecutive quarter of revenue and earnings per share growth for the company. Over this time period, we have scaled our operations to support rapidly growing demand for our products and services. And as we look forward, we see continued strengthening across both leading edge device segments and specialty technology markets.

    謝謝,蒂娜,歡迎大家。 Lam 的 9 月季度表現穩健,收入符合預期,每股收益高於我們指導範圍的中點。這些結果代表了我們公司連續第六個季度的收入和每股收益增長。在此期間,我們擴大了業務規模,以支持對我們的產品和服務快速增長的需求。正如我們展望的那樣,我們看到前沿設備細分市場和專業技術市場的持續增強。

  • In response, we have expanded our manufacturing capacity at existing facilities in the United States, Korea and Taiwan. In the September quarter, we celebrated the grand opening of our new Malaysia facility which, when fully ramped, will be the largest factory in our global network. And just last month, we announced a new factory in Oregon, primarily designed to meet increased demand for Lam tools and foundry/logic in advanced packaging applications. With these investments, we are building a solid foundation for delivering on our long-term growth objectives.

    作為回應,我們擴大了美國、韓國和台灣現有工廠的製造能力。在 9 月季度,我們慶祝了馬來西亞新工廠的盛大開業,該工廠全面投產後將成為我們全球網絡中最大的工廠。就在上個月,我們宣佈在俄勒岡州建立一家新工廠,主要旨在滿足先進封裝應用中對 Lam 工具和鑄造/邏輯不斷增長的需求。通過這些投資,我們正在為實現我們的長期增長目標奠定堅實的基礎。

  • In the near term, however, we are not immune to the widely reported supply chain constraints and elevated costs that continue to create new challenges for Lam and others across our industry. Our employees and supply chain partners are working tirelessly to meet the needs of our customers, and I would like to sincerely thank them for their efforts.

    然而,在短期內,我們不能倖免於廣泛報導的供應鏈限制和成本上升,這些限制繼續給 Lam 和我們行業的其他人帶來新的挑戰。我們的員工和供應鏈合作夥伴正在孜孜不倦地滿足客戶的需求,我衷心感謝他們的努力。

  • From a wafer fab equipment spending perspective, we now see calendar 2021 ending in the mid-$80 billion range. Overall, WFE is higher in the second half versus the first half of the year, with both DRAM and Foundry/Logic up in the second half, while NAND is more balanced. Demand remains strong. And while it's a bit early to give a specific forecast for calendar year 2022, indications are that it will be another year of WFE growth.

    從晶圓廠設備支出的角度來看,我們現在看到 2021 年日曆結束在 800 億美元左右。總體而言,下半年 WFE 比上半年更高,下半年 DRAM 和 Foundry/Logic 都在上漲,而 NAND 則更加平衡。需求依然強勁。雖然現在給出 2022 日曆年的具體預測還為時過早,但有跡象表明這將是 WFE 增長的又一年。

  • We believe sustained strength in WFE spending is due to several factors we have previously highlighted. First, drivers of semiconductor demand continue to broaden and sectors such as automotive, health care and security, are increasingly dependent on semiconductor content to deliver the performance requirements of end users. As a result, we are seeing a strong uptick in trailing edge technology nodes served by our Reliant business. Our Reliant business has now posted 11 consecutive quarters of record revenues. And in calendar year 2021, we expect Reliant to outgrow the WFE investment in this segment. Furthermore, high utilization rates across our installed base are driving strength in all subsegments of our CSBG business. And in the September quarter, CSBG revenues increased year-over-year by more than 30%.

    我們認為 WFE 支出的持續強勁是由於我們之前強調的幾個因素。首先,半導體需求的驅動力不斷擴大,汽車、醫療保健和安全等行業越來越依賴半導體內容來滿足最終用戶的性能要求。因此,我們看到 Reliant 業務服務的後緣技術節點出現強勁增長。我們的 Reliant 業務現已連續 11 個季度實現創紀錄的收入。在 2021 日曆年,我們預計 Reliant 在這一領域的投資將超過 WFE 的投資。此外,我們已安裝基礎的高利用率正在推動我們 CSBG 業務所有子領域的實力。在 9 月季度,CSBG 收入同比增長超過 30%。

  • At the leading edge, semiconductor content growth, larger die and rising capital intensity are fueling increased wafer starts and strong WFE spending. In Foundry/Logic, for instance, the next-generation processor chip for a top smartphone maker is more than 20% larger than its prior iteration. In DRAM, higher capital intensity is being driven by the increasing need to correct single-bit errors through the addition of an extra on-chip bit. In 3D NAND, increasing device layer counts and the resulting higher degree of manufacturing difficulty is requiring the addition of new deposition and etch processes to address stress management, defect control and multi-stack integration challenges. As a result, we see the WFE investment required to achieve the same bit growth percentage over the next 5 years to be notably higher than the 5-year period just completed.

    在前沿,半導體含量的增長、更大的芯片和不斷上升的資本密集度正在推動晶圓開工的增加和 WFE 的強勁支出。例如,在 Foundry/Logic 中,一家頂級智能手機製造商的下一代處理器芯片比之前的迭代大 20% 以上。在 DRAM 中,通過增加一個額外的片上位來糾正單位錯誤的需求日益增加,推動了更高的資本密集度。在 3D NAND 中,隨著器件層數的增加以及由此產生的更高製造難度,需要增加新的沉積和蝕刻工藝來解決應力管理、缺陷控制和多堆棧集成挑戰。因此,我們認為在未來 5 年實現相同比特增長率所需的 WFE 投資明顯高於剛剛完成的 5 年期。

  • However, as the leading equipment supplier to the 3D NAND market, we are investing in new and differentiated capabilities to ensure scaling remains cost-effective. As one example, Lam has developed a new high-productivity cryo etch solution, which increases etch rates in high aspect ratio features required for NAND devices with greater than 200 layers. We have installed this new capability at every major 3D NAND manufacturer for qualification, with additional systems now shipping to support planned ramps to high-volume production next year. While initially developed to meet the demanding requirements of high aspect ratio etch in 3D NAND, we believe the technology may also have benefits for Foundry/Logic and DRAM at the leading edge where we are presently engaged with customers on critical applications.

    然而,作為 3D NAND 市場的領先設備供應商,我們正在投資新的差異化功能,以確保擴展仍然具有成本效益。例如,Lam 開發了一種新的高生產率低溫蝕刻解決方案,該解決方案提高了 200 層以上 NAND 設備所需的高縱橫比特徵的蝕刻速率。我們已經在每個主要的 3D NAND 製造商處安裝了這項新功能以進行資格認證,現在正在運送更多系統,以支持明年計劃的大批量生產。雖然最初是為了滿足 3D NAND 中高縱橫比蝕刻的苛刻要求而開發的,但我們相信該技術也可能對處於領先地位的 Foundry/Logic 和 DRAM 帶來好處,我們目前正在與客戶就關鍵應用進行接觸。

  • Looking in more detail at the Foundry/Logic segment, we see spending at record levels. Lam's Foundry/Logic revenues are likewise set to grow significantly in 2021, and we expect this expansion to continue in 2022 as well. Foundry and logic performance in the sub-5-nanometer era is being driven by both device architecture innovation and traditional area scaling. We are prioritizing technology development in 3 areas where we see the fastest growth and the greatest need, namely deposition and etch processes to support the efficient adoption of EUV patterning, new etch capabilities to enable the formation of critical transistor features and new materials and deposition techniques to assist in RC management.

    更詳細地查看代工/邏輯部分,我們看到了創紀錄的支出。 Lam 的 Foundry/Logic 收入同樣將在 2021 年顯著增長,我們預計這種擴張也將在 2022 年繼續。亞 5 納米時代的晶圓代工和邏輯性能受到器件架構創新和傳統面積縮放的推動。我們將技術開發放在我們認為增長最快和需求最大的 3 個領域的優先級,即沉積和蝕刻工藝以支持 EUV 圖案化的有效採用、新的蝕刻能力以實現關鍵晶體管特徵的形成以及新材料和沈積技術協助RC管理。

  • In patterning, we are using the learning we have acquired over many years of multi-patterning etch leadership to win new applications as the industry adoption of EUV progresses. EUV requires use of special photo-resistant materials which, given the material composition, can amplify existing challenges with pattern roughness and defectivity. Unaddressed, these will lead to performance and yield loss, especially at smaller device dimensions.

    在圖案化方面,隨著行業採用 EUV 的進展,我們正在利用多年來在多圖案蝕刻領先地位中獲得的知識來贏得新的應用。 EUV 需要使用特殊的抗光材料,考慮到材料的組成,這些材料可以放大現有的圖案粗糙度和缺陷問題。如果不加以解決,這些將導致性能和產量損失,尤其是在較小的器件尺寸下。

  • Lam has developed critical etch and deposition technologies to help solve these EUV implementation issues. In etch, we introduced earlier this year a new pulse plasma etch capability that is demonstrated in order of magnitude reduction in EUV-related pattern defectivity. This innovative etch solution is currently shipping to leading foundry and logic customers. In deposition, hard masks and transfer films require enhanced mechanical properties in order to maintain fidelity of extremely small features and minimize line roughness. Utilizing a combination of proprietary hardware design and RF power technology, we are depositing high-quality films that have replaced incumbent technologies such as PVD and spin-on materials at multiple foundry/logic customers.

    Lam 開發了關鍵的蝕刻和沈積技術來幫助解決這些 EUV 實施問題。在蝕刻方面,我們在今年早些時候推出了一種新的脈衝等離子蝕刻能力,該能力在 EUV 相關圖案缺陷的數量級降低中得到了證明。這種創新的蝕刻解決方案目前正在交付給領先的代工和邏輯客戶。在沉積過程中,硬掩模和轉移膜需要增強的機械性能,以保持極小特徵的保真度並最大限度地減少線條粗糙度。利用專有硬件設計和射頻功率技術的結合,我們正在沉積高質量的薄膜,這些薄膜已經取代了多個代工廠/邏輯客戶的現有技術,例如 PVD 和旋塗材料。

  • Related to the formation of critical transistor features, including gates, fins and source/drain, we saw significant etch wins in the September quarter. These wins continue to confirm the benefit of our unique plasma pulsing capabilities in conductor etch for gate-all-around and FinFET applications. For advanced device architectures, we also see ultra-high selectivity isotropic etch increasingly required. Lam's growing selective etch portfolio delivers superior results through a combination of process technologies and reactor innovations that include new chemistries and plasma sources. This has helped us win a greater number of applications in recent quarters.

    與關鍵晶體管特徵的形成有關,包括柵極、鰭片和源極/漏極,我們在 9 月季度看到了顯著的蝕刻勝利。這些勝利繼續證實了我們獨特的等離子脈衝能力在用於環柵和 FinFET 應用的導體蝕刻中的優勢。對於先進的器件架構,我們還看到越來越需要超高選擇性各向同性蝕刻。 Lam 不斷增長的選擇性蝕刻產品組合通過結合工藝技術和反應器創新(包括新的化學物質和等離子體源)提供卓越的結果。這幫助我們在最近幾個季度贏得了更多的申請。

  • And finally, RC management continues to be a limiter on performance scaling, and we are seeing demand for our atomic layer deposition technologies as a result. Our Striker ALD system deposits thin low-k films that can withstand harsh integration steps encountered later in the process flow. These films have demonstrated the ability to reduce capacitance by 20% to 30%, and Striker is now the tool of record at leading foundry/logic customers. This technology is also extendable to gate-all-around devices where there is an additional requirement of conformal coverage in recess cavities, which can then be selectively etched back.

    最後,RC 管理仍然是性能擴展的限制因素,因此我們看到了對我們的原子層沉積技術的需求。我們的 Striker ALD 系統可沉積薄的低 k 薄膜,可以承受工藝流程後期遇到的苛刻集成步驟。這些薄膜展示了將電容降低 20% 至 30% 的能力,Striker 現在是領先的代工/邏輯客戶的記錄工具。該技術還可以擴展到環柵器件,在這些器件中,凹槽腔中存在保形覆蓋的額外要求,然後可以選擇性地回蝕。

  • In advanced packaging, momentum remained strong with orders received in the September quarter from multiple foundry/logic customers for through-silicon via etch and deposition systems. Our experience in high aspect ratio etching has allowed us to deliver a production-proven process with fast etch rates and smooth profiles, helping to minimize the cost of integrating TSVs and the overall flow. Similarly, for copper metallization, our SABRE 3D solution enables void-free fill by employing an innovative advanced pretreatment and our high-throughput electroplating process reduces cost of ownership.

    在先進封裝方面,勢頭依然強勁,9 月季度收到多個晶圓代工/邏輯客戶的矽通孔蝕刻和沈積系統訂單。我們在高縱橫比蝕刻方面的經驗使我們能夠提供經過生產驗證的工藝,具有快速的蝕刻速率和平滑的輪廓,有助於最大限度地降低集成 TSV 和整體流程的成本。同樣,對於銅金屬化,我們的 SABRE 3D 解決方案通過採用創新的先進預處理實現無空隙填充,我們的高通量電鍍工藝降低了擁有成本。

  • So to wrap up, we delivered a solid September quarter in an environment of ongoing supply chain challenges. We are seeing robust semiconductor demand across all segments, broadening of semiconductor applications across industries and rising capital intensity. We are excited about the healthy outlook for WFE spending and believe our innovative product portfolio is poised to capture new opportunities as semiconductor technology continues to advance.

    因此,總而言之,我們在供應鏈挑戰持續存在的環境中實現了穩健的 9 月季度。我們看到所有領域的半導體需求強勁,各行各業的半導體應用不斷擴大,資本密集度不斷上升。我們對 WFE 支出的健康前景感到興奮,並相信隨著半導體技術的不斷進步,我們的創新產品組合將抓住新機遇。

  • Thanks again for joining. Now here's Doug to cover the quarter in more detail.

    再次感謝您的加入。現在,Doug 將更詳細地介紹本季度。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Great. Thank you, Tim. Good afternoon, everyone, and thank you for joining us on our call today.

    偉大的。謝謝你,蒂姆。大家下午好,感謝您今天加入我們的電話會議。

  • Lam delivered another quarter of strong results, with revenue, operating income dollars and earnings per share coming in at record levels in the September quarter. All financial metrics came in at or above the midpoint of our guidance, demonstrating our continued focus on operational execution. We've achieved this performance while also navigating significant supply chain challenges.

    Lam 又一個季度取得了強勁的業績,收入、營業收入美元和每股收益在 9 月季度達到創紀錄水平。所有財務指標都達到或高於我們指導的中點,表明我們繼續關注運營執行。我們取得了這一成績,同時還應對了重大的供應鏈挑戰。

  • We're pleased with Lam's ability to scale the company in this demanding environment. September quarter revenue was $4.3 billion, an increase of 4% from the June quarter and more than 35% growth from a year ago.

    我們很高興 Lam 能夠在這種苛刻的環境中擴大公司規模。 9 月季度收入為 43 億美元,比 6 月季度增長 4%,比去年同期增長 35% 以上。

  • Looking at the breakout of the systems revenue. The Memory segment represented 64% of systems revenue in the September quarter, which was up from the prior quarter level at 59%. Memory growth was driven by investments in DRAM, primarily in the 1z and 1-alpha nodes. DRAM systems revenue nearly doubled in dollar terms and grew from 10% in the June quarter to 19% in the September quarter.

    看看系統收入的突破。內存部門佔 9 月季度系統收入的 64%,高於上一季度的 59%。內存增長是由對 DRAM 的投資推動的,主要是在 1z 和 1-alpha 節點。以美元計算,DRAM 系統收入幾乎翻了一番,從 6 月季度的 10% 增長到 9 月季度的 19%。

  • NAND segment concentration came in at 45% of our systems revenue versus 49% in the June quarter and was flattish in dollar terms. Our NAND customers are investing in both capacity additions and conversions with the equipment investments focused towards 128-layer through 192-layer devices.

    NAND 部門的集中度占我們系統收入的 45%,而 6 月季度為 49%,按美元計算持平。我們的 NAND 客戶正在對容量增加和轉換進行投資,設備投資集中在 128 層到 192 層設備上。

  • The Foundry segment spending represented 25% of our systems revenue compared with 35% in the June quarter. We're seeing investments in equipment for both leading edge and mature device nodes for multiple sources of end-use demand such as AI, IoT, cloud and 5G. Logic and analog device companies are driving capacity additions at the foundries.

    代工部門的支出占我們系統收入的 25%,而 6 月季度為 35%。我們正在為人工智能、物聯網、雲計算和 5G 等多種終端使用需求來源對前沿和成熟設備節點的設備進行投資。邏輯和模擬設備公司正在推動代工廠的產能增加。

  • There was notable growth in the Logic/Other segment, which hit a record level of systems revenue for Lam in the September quarter. Logic/Other contributed 11% of systems revenue in the September quarter, which is up from 6% in the June quarter, and it was driven by leading edge and mature nodes ramping for microprocessors, image sensors, power management and 5G demand.

    邏輯/其他部門有顯著增長,在 9 月季度達到了創紀錄的系統收入水平。 Logic/Other 在 9 月季度貢獻了 11% 的系統收入,高於 6 月季度的 6%,這得益於微處理器、圖像傳感器、電源管理和 5G 需求的領先和成熟節點的增長。

  • Let me turn now to the regional composition of our total revenue. The China region came in at 37% of total revenues, which was flat with the prior quarter percentage level. The revenue from China domestic customers and multinational customers with fabs located in China was again fairly balanced in the September quarter. Korea and Taiwan regional spending represented 21% and 15% of revenues, respectively, in the September quarter. I do expect that the December quarter revenue will have a lower China concentration.

    現在讓我談談我們總收入的區域構成。中國地區佔總收入的 37%,與上一季度的百分比水平持平。來自中國國內客戶和在中國設有晶圓廠的跨國客戶的收入在 9 月季度再次相當平衡。 9 月季度,韓國和台灣地區的支出分別佔收入的 21% 和 15%。我確實預計 12 月季度的收入在中國的集中度會降低。

  • The Customer Support Business Group revenue was nearly $1.4 billion, 34% higher than the September quarter in calendar 2020 and flat with the prior quarter level. As Tim noted, the Reliant product line that serves the specialty market delivered record results, and we also had solid results in the spares, service and upgrade side with a focus on maximizing the productivity and value of the installed base tools while supporting the high fab utilization levels in the industry. I continue to have confidence that CSBG will grow revenue consistently on an annual basis.

    客戶支持業務集團的收入接近 14 億美元,比 2020 年 9 月季度高出 34%,與上一季度持平。正如 Tim 所說,服務於專業市場的 Reliant 產品線取得了創紀錄的成果,我們在備件、服務和升級方面也取得了可觀的成果,重點是在支持高晶圓廠的同時最大限度地提高已安裝基礎工具的生產力和價值行業使用水平。我仍然相信 CSBG 將每年持續增長收入。

  • Let me now shift to margin performance. Our September quarter gross margin was 46% right at the midpoint of our guided range. I'd just remind you that our gross margin can fluctuate quarter-to-quarter due to overall business levels, along with customer and product mix. The supply chain constraints discussed earlier have resulted in elevated costs broadly, with freight and logistics costs continuing to be one of the biggest headwinds. Additionally, we currently have margin dilution from our new factory in Malaysia, which is not yet operating at full capacity. We included these costs in our December quarter guidance as we expect they will remain for the near future.

    現在讓我談談保證金表現。我們 9 月季度的毛利率為 46%,處於我們指導範圍的中點。我只想提醒您,由於整體業務水平以及客戶和產品組合,我們的毛利率可能會出現季度波動。前面討論的供應鏈限制導致成本普遍上升,貨運和物流成本仍然是最大的不利因素之一。此外,我們目前在馬來西亞的新工廠還沒有滿負荷運轉,導致利潤稀釋。我們將這些成本包含在我們 12 月的季度指導中,因為我們預計它們將在不久的將來保持不變。

  • Operating expenses for September were $586 million, a slight increase from the prior quarter. We've continued to manage our expenses as we scale the company with a strong focus on operational efficiencies while prioritizing R&D spending to deliver a differentiated product portfolio that supports our customers' technology road maps.

    9 月份的運營費用為 5.86 億美元,比上一季度略有增加。隨著我們擴大公司規模,重點關注運營效率,同時優先考慮研發支出,以提供支持客戶技術路線圖的差異化產品組合,我們繼續管理我們的開支。

  • September operating margin exceeded the midpoint of our guidance range at 32.4% or approximately $1.4 billion. Our non-GAAP tax rate for the quarter was 12.2% generally in line with our expectations. And as we've noted in previous quarter calls, our tax rate may fluctuate from quarter-to-quarter, and you should expect the ongoing tax rate to be in the low-teens level for the 2021 calendar year. We continue to monitor potential tax changes under consideration in the United States, but we've not reflected the impact of any potential changes in our financial models at this point.

    9 月份的營業利潤率超過了我們指導範圍的中點,為 32.4% 或約 14 億美元。我們本季度的非公認會計原則稅率為 12.2%,總體上符合我們的預期。正如我們在上一季度電話會議中指出的那樣,我們的稅率可能會因季度而波動,您應該預計 2021 日曆年的持續稅率將處於低水平。我們將繼續監控美國正在考慮的潛在稅收變化,但目前我們尚未反映我們財務模型中任何潛在變化的影響。

  • Other income and expense came in for the quarter at $36 million in expense. This amount is higher than the prior quarter due to an unrealized gain we had in June for one of our private equity investments, partially offset by lower interest expense in the September quarter as a result of the payoff of our 2021 notes last quarter. And just to note, OI&E is subject to market-related volatility that could cause a difference from our typical run rate.

    本季度的其他收入和支出為 3600 萬美元。這一數額高於上一季度,因為我們在 6 月份為我們的一項私募股權投資獲得了未實現收益,但由於上一季度我們的 2021 年票據的償付,9 月份季度的利息費用較低,部分抵消了這一數額。需要注意的是,OI&E 會受到與市場相關的波動的影響,這可能會導致與我們的典型運行率不同。

  • We were active in our buybacks during the September quarter, allocating over $1.2 billion towards share repurchases. We deployed this cash in a combination of open market repurchases as well as an accelerated share repurchase program. This ASR will continue to execute in the December quarter. In addition, we paid $185 million in dividends in the quarter.

    我們在 9 月季度積極進行回購,分配了超過 12 億美元用於股票回購。我們通過公開市場回購和加速股票回購計劃來部署這筆現金。該 ASR 將在 12 月季度繼續執行。此外,我們在本季度支付了 1.85 億美元的股息。

  • I'd also like to highlight that in August, we announced a 15% increase in our quarterly dividend, growing it from $1.30 to $1.50 per share, which was paid in October. We're tracking very well to our capital return plans with returns of over 100% of our free cash flow year-to-date in calendar year 2021.

    我還想強調一下,在 8 月份,我們宣布將季度股息提高 15%,從 10 月份支付的每股 1.30 美元增加到 1.50 美元。我們非常好地跟踪我們的資本回報計劃,在 2021 日曆年年初至今的自由現金流的回報率超過 100%。

  • Diluted earnings per share for the September quarter was $8.36, above the midpoint of the guidance range. The diluted share count balance was down slightly from the June quarter level coming in at 143 million shares, generally in line with our expectations.

    9 月季度的稀釋後每股收益為 8.36 美元,高於指導範圍的中點。稀釋後的股票數量餘額比 6 月季度的 1.43 億股略有下降,總體上符合我們的預期。

  • Let me now shift to the balance sheet. Cash and short-term investments, including restricted cash, totaled $4.9 billion, which is down from the prior quarter. The decrease in cash is attributed to the capital return activities that I described earlier. Additionally, the timing of shipments and resulting impact on accounts receivable as well as an increase in our inventory balance consumed cash in the quarter.

    現在讓我轉向資產負債表。現金和短期投資(包括受限現金)總計 49 億美元,低於上一季度。現金的減少歸因於我之前描述的資本回報活動。此外,發貨時間和對應收賬款的影響以及我們庫存餘額的增加在本季度消耗了現金。

  • Day sales outstanding was up to 72 days from 66 days in the June quarter. Inventory turns were down slightly from the prior quarter level, coming in at 3.2x, which was planned as we've increased inventory levels to meet the increase in investments from our customers as well as to help mitigate the challenges that we see in our supply chain.

    日銷售額從 6 月季度的 66 天增加到 72 天。庫存周轉率較上一季度略有下降,為 3.2 倍,這是我們計劃提高庫存水平以滿足客戶投資增加並幫助緩解我們在供應中看到的挑戰的計劃鏈。

  • Noncash expenses for the September quarter included approximately $58 million for equity compensation, $61 million for depreciation and $19 million for amortization. Capital expenditures for the September quarter were up versus the June level, coming in at $136 million. The increase in our expenditures is associated with capacity expansion in the network, in particular, at our critical spare parts facility in Ohio as well as spending for our Korea technology center that will be formally opening in 2022. We expect to see elevated levels of capital expenditures in the remainder of calendar 2021 and into 2022 as we support the growth that we see in the business.

    9 月份季度的非現金支出包括大約 5800 萬美元的股權補償、6100 萬美元的折舊和 1900 萬美元的攤銷。 9 月份季度的資本支出高於 6 月份的水平,達到 1.36 億美元。我們支出的增加與網絡產能擴張有關,特別是在我們位於俄亥俄州的關鍵備件工廠以及將於 2022 年正式開業的韓國技術中心的支出。我們預計資本水平將上升2021 年剩餘時間和 2022 年的支出,因為我們支持我們在業務中看到的增長。

  • We ended the September quarter with approximately 15,400 regular full-time employees, which is an increase of approximately 1,300 people to meet the increased output levels and to support customers with their technology and production requirements.

    我們在 9 月季度結束時擁有約 15,400 名正式全職員工,增加了約 1,300 人,以滿足增加的產量水平並支持客戶的技術和生產要求。

  • Let's now take a look at our non-GAAP guidance for the December 2021 quarter. We're expecting revenue of $4.4 billion, plus or minus $250 million, we continued to maintain a widened revenue range given the supply chain uncertainties that we mentioned; gross margin of 46%, plus or minus 1 percentage point; operating margins up 32%, plus or minus 1 percentage point; and finally, earnings per share of $8.45, plus or minus $0.50 based on a share count of approximately 142 million shares.

    現在讓我們看一下我們對 2021 年 12 月季度的非 GAAP 指導。我們預計收入為 44 億美元,上下浮動 2.5 億美元,鑑於我們提到的供應鏈不確定性,我們繼續保持擴大的收入範圍;毛利率46%,上下1個百分點;營業利潤率增長 32%,正負 1 個百分點;最後,每股收益為 8.45 美元,根據大約 1.42 億股的股票數量,正負 0.50 美元。

  • And just an additional note, our guidance does not include an estimated gain related to one of our private equity investments that recently raised capital in a public offering. The gain as of today is in the $50 million range and is subject to market volatility. The amount recognized in our financials as of the end of December '21 quarter may fluctuate, and I'll obviously give you an update at our next earnings release.

    還有一點需要注意的是,我們的指導不包括與我們最近在公開募股中籌集資金的一項私募股權投資相關的估計收益。截至今天,收益在 5000 萬美元範圍內,並受市場波動影響。截至 21 年 12 月季度末,我們財務中確認的金額可能會波動,我顯然會在下一次財報發佈時為您提供更新。

  • So then in closing, we're experiencing ongoing output challenges in our global supply chain that are continuing to negatively impact both our revenue and gross margin. We're improving known items, while new items continue to emerge that we need to further work through. Lead times remain stretched, and we continue to have unmet demand. Despite these constraints, we're operating at record levels in terms of revenue and earnings while delivering the technology solutions our customers require. Industry demand remains strong as we look forward to growth in 2022.

    因此,最後,我們在全球供應鏈中遇到了持續的產出挑戰,這些挑戰繼續對我們的收入和毛利率產生負面影響。我們正在改進已知項目,而新項目不斷出現,我們需要進一步努力。交貨時間仍然很長,我們仍然有未滿足的需求。儘管存在這些限制,我們在提供客戶所需的技術解決方案的同時,在收入和收益方面仍保持著創紀錄的水平。由於我們期待 2022 年的增長,行業需求依然強勁。

  • I remain very excited about the multitude of opportunities for the company. And I'd obviously like to thank the dedicated Lam Research employees for their tireless support in this environment.

    我仍然對公司的眾多機會感到非常興奮。我顯然要感謝敬業的 Lam Research 員工在這種環境中的不懈支持。

  • Operator, that concludes my prepared remarks. Tim and I would now like to open up the call for questions.

    接線員,我準備好的發言到此結束。蒂姆和我現在想打開提問的電話。

  • Operator

    Operator

  • (Operator Instructions) And first, we'll go to Timothy Arcuri from UBS.

    (操作員說明)首先,我們將介紹瑞銀的 Timothy Arcuri。

  • Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

    Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

  • Doug, I'm hoping that you're not sick. You sound a little bit sick. Hopefully, you're feeling okay. So I guess the first thing I wanted to say was can you quantify, Doug, what the gross margin headwind is right now? You talked about Malaysia and freight. Is that 50 bps, 75 basis points? I'm just kind of wondering if you could quantify that for us.

    道格,我希望你沒有生病。你聽起來有點噁心。希望你感覺還好。所以我想我想說的第一件事是你能量化一下,道格,現在的毛利率逆風是多少?你談到了馬來西亞和貨運。那是 50 個基點,75 個基點嗎?我只是想知道你是否可以為我們量化它。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes, Tim, and thanks for the concern about my health. I'm doing quite well, frankly. I don't know, maybe just a little scratchy throat. I haven't quantified it, Tim. And I'm not going to now, except what I've said in the past and I'll continue to say, it's a notable headwind, once we get through this environment, from where we will be. I'm not going to quantify it, Tim, but it's notable.

    是的,蒂姆,感謝您對我健康的關注。坦率地說,我做得很好。我不知道,也許只是喉嚨有點沙啞。我沒有量化它,蒂姆。我現在不會,除了我過去說過的,我會繼續說,這是一個明顯的逆風,一旦我們度過這個環境,我們將從哪裡開始。我不打算量化它,蒂姆,但它是值得注意的。

  • Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

    Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

  • It is. Okay. Okay. And then I guess, also, you talked about China domestic being down sequentially for December. I assume some of that is memory-related. And I guess the question is, is that all sort of out of the system as you go into the first half of '22? Are there still some headwinds in China related to some particular projects that may persist into the first half of '22?

    它是。好的。好的。然後我想,你也談到了中國國內在 12 月份連續下降。我認為其中一些與記憶有關。而且我想問題是,當你進入 22 年上半年時,這一切都脫離了系統嗎?中國是否仍然存在一些與某些特定項目相關的不利因素,這些項目可能會持續到 22 年上半年?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes. No, Tim, I don't see anything new really relative to China or timing of anything. Maybe I'm not quite getting at your question or maybe I don't understand the nature of the question. Do you want to try to redirect me a little bit?

    是的。不,蒂姆,我沒有看到任何真正與中國或任何時間相關的新事物。也許我不太明白你的問題,或者我不明白這個問題的性質。你想嘗試重定向我一點嗎?

  • Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

    Timothy Michael Arcuri - MD and Head of Semiconductors & Semiconductor Equipment

  • Yes. I guess I'm -- so there's a pretty big project in China that has had -- I mean it's been well publicized. They've been having funding problems. They can't meet their commitments. So I'm just wondering if maybe that was part of the NAND softness in the back half of the year that you cited last call. And I'm wondering sort of is that sort of -- I mean has that all played out now such that there's not, like, still a headwind into the first half of next year?

    是的。我想我是——所以在中國有一個相當大的項目——我的意思是它已經得到了很好的宣傳。他們一直遇到資金問題。他們無法履行承諾。因此,我只是想知道這是否可能是您在上次電話會議中提到的下半年 NAND 疲軟的一部分。我想知道是不是那種——我的意思是現在一切都已經結束,以至於明年上半年仍然沒有逆風?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes. I don't really see any change from a quarter ago of any major customer plans, and I won't refer to any one customer or another. But no real change, Tim.

    是的。我真的沒有看到任何主要客戶計劃與四分之一前相比有任何變化,我也不會提及任何一個客戶。但沒有真正的改變,蒂姆。

  • Operator

    Operator

  • And next, we'll go to Harlan Sur from JPMorgan.

    接下來,我們將從摩根大通前往 Harlan Sur。

  • Harlan Sur - Senior Analyst

    Harlan Sur - Senior Analyst

  • Great job on the quarterly execution, guys. The market is concerned that we're heading into a multi-quarter downturn in memory, kind of similar to the 2018, 2019 memory downturn, which is a pretty severe 6-quarter downturn. But the one thing I clearly remember was that ahead of that downturn, your memory customers proactively cut their CapEx very, very rapidly. Now if I look at it this time around, there's some near-term pricing weakness in memory, but the overall memory demand environment remains pretty strong. And I think most memory companies seem optimistic, right, on the outlook for next year. So I guess the question is, has the Lam team seen any signs similar to the 2018 downturn of customers either getting concerned or canceling or slight pushing out of shipments due to a concern on a protracted memory downturn next year?

    伙計們,在季度執行方面做得很好。市場擔心我們正在進入內存的多季度低迷,類似於 2018 年、2019 年的內存低迷,這是一個相當嚴重的 6 個季度的低迷。但我清楚地記得的一件事是,在那次低迷之前,您的內存客戶非常非常迅速地主動削減了他們的資本支出。現在,如果我這次看一下,內存價格近期會出現一些疲軟,但整體內存需求環境仍然相當強勁。而且我認為大多數內存公司似乎對明年的前景持樂觀態度。所以我想問題是,Lam 團隊有沒有看到任何類似於 2018 年客戶低迷的跡象,或者擔心明年的內存持續低迷,或者取消或輕微推遲發貨?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. Harlan, let me take that first. I think the simple answer is no. I mean the vast majority of our conversations with customers today is still about delivering equipment that they feel they badly need to meet their near-term requirements. And as Doug mentioned in his prepared remarks, I would say lead times have stretched out to the point where our visibility into demand into '22 is better than usual. And so I don't think that the types of initial indicators that you're talking about are things we're seeing right now. We feel much more constrained by supply chain challenges and ability to meet shipments than an overshipping situation.

    是的。哈蘭,讓我先說。我認為簡單的答案是否定的。我的意思是,我們今天與客戶的絕大多數對話仍然是關於交付他們認為急需滿足近期需求的設備。正如道格在他準備好的講話中提到的那樣,我想說交貨時間已經延長到我們對 22 年需求的可見性比平時更好的地步。所以我不認為你所說的初始指標類型是我們現在看到的東西。我們感到供應鏈挑戰和滿足出貨量的能力比過度運輸情況更受限制。

  • Harlan Sur - Senior Analyst

    Harlan Sur - Senior Analyst

  • And then the one area that we continue to see demand, which you alluded to, is obviously strong demand for mature and specialty processes, analog, microcontroller, RF. You guys are all dealing with supply issues. I know back at the Analyst Day, the team said that specialty market is growing about 2 to 3x faster than WFE. So is your Reliant business growing faster than that 2 to 3x rate? And where is the team driving stronger than market growth? Is it etch? Is it deposition? Or is it more based on platform performance like throughput, uptime or other productivity metrics?

    然後,我們繼續看到需求的一個領域,你提到的,顯然是對成熟和專業工藝、模擬、微控制器、RF 的強勁需求。你們都在處理供應問題。我知道在分析師日,團隊說專業市場的增長速度比 WFE 快 2 到 3 倍。那麼,您的 Reliant 業務增長速度是否超過了 2 到 3 倍的速度?團隊在哪裡推動比市場增長更強勁?是蝕刻嗎?是沉積嗎?還是更多地基於平台性能,如吞吐量、正常運行時間或其他生產力指標?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. It's a great question. So as I said in my script, the Reliant business is growing faster than the WFE investment in that segment. So it would imply we're picking up share there. It's, I would say, reasonably well balanced across our product portfolio. And you're right, in that market, a lot of that is about Lam's leadership in bringing both technology and productivity to customers. So where there are new decisions being made about tool sets or new fabs being established for those types of specialty products, we have a lot to offer relative to getting the cost targets that those customers are looking for.

    是的。這是一個很好的問題。因此,正如我在腳本中所說,Reliant 業務的增長速度快於 WFE 在該領域的投資。所以這意味著我們正在那裡獲得份額。我想說,它在我們的產品組合中相當平衡。你是對的,在那個市場上,很多都是關於 Lam 在為客戶帶來技術和生產力方面的領導地位。因此,在針對這些類型的特種產品製定有關工具集或建立新工廠的新決策時,我們可以提供很多與獲得這些客戶正在尋找的成本目標相關的東西。

  • Operator

    Operator

  • And next, we'll go to John Pitzer from Credit Suisse.

    接下來,我們將介紹瑞士信貸的約翰·皮策。

  • John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

    John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

  • Tim, I get that it's a little bit too early to get too granular on next year's WFE. And I know in your prepared comments, you said it's going to be another growth year. I'm wondering if you can give us some parameters of how you're viewing kind of the first half of next year versus the second half of this year.

    蒂姆,我知道現在對明年的 WFE 過於細化還為時過早。我知道在你準備好的評論中,你說這將是另一個增長的一年。我想知道你是否可以給我們一些關於你如何看待明年上半年和今年下半年的參數。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I think we're not going to give first half and second half of 2022, but what we would say is we're exiting this year with significant unmet demand. We talked about a constrained environment, which means we enter 2022 with a lot of tailwinds relative to business. But I tried to, in my remarks, lay out some of the trends that we think, over a slightly longer term, maybe through 2022 on into 2023, are driving not only demand but really, on the supply side, a rising capital intensity, larger die, different architectures, new processes that need to be inserted into process flows to deal with increased manufacturing complexity. And those will be drivers for WFE structurally for a very long time. So I think there are a lot of things that will be positives for WFE in 2022 from an equipment perspective.

    是的。我認為我們不會給出 2022 年上半年和下半年的數據,但我們要說的是,今年我們將因大量未滿足的需求而退出。我們談到了一個受限的環境,這意味著我們進入 2022 年時會有很多與業務相關的順風。但在我的發言中,我試圖列出一些趨勢,我們認為,在較長時期內,可能會從 2022 年到 2023 年,這些趨勢不僅會推動需求,而且在供應方面確實會推動資本密集度的上升,更大的芯片、不同的架構、需要插入工藝流程以應對製造複雜性增加的新工藝。這些將在很長一段時間內成為 WFE 結構性的驅動因素。因此,我認為從設備的角度來看,2022 年 WFE 有很多積極因素。

  • John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

    John William Pitzer - MD, Global Technology Strategist and Global Technology Sector Head

  • That's helpful. And then, Tim, as a follow-up, a pretty big milestone in the logic business. I think this quarter's revenue was about 1/3 higher than prior peak. It's the first time you've been run-rating over $1 billion ever. And I know you kind of talked about some of the things in your prepared comments that are driving that. But to what extent do you believe that this is sustainable? To what extent is it TAM growth in logic versus kind of your market share and SAM growth starting to kick in? And any sort of view on sustainability from these levels.

    這很有幫助。然後,蒂姆,作為後續,邏輯業務的一個相當大的里程碑。我認為本季度的收入比之前的峰值高出約 1/3。這是您有史以來第一次運行評級超過 10 億美元。我知道你在準備好的評論中談到了一些推動這一點的事情。但您認為這在多大程度上是可持續的?邏輯上的 TAM 增長與您的市場份額和 SAM 增長開始發揮作用的程度有多大?以及從這些層面對可持續性的任何看法。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. Let's say it's probably a combination of both us expanding into some new applications that I talked about related to emerging device architectures, gate-all-around, new processes like selected etch technologies that are expanding our market opportunity. But also share gains, I mean we've seen the increase in spending in the foundry/logic area. And for a number of years, we've been focused on developing products that bring real value to customers in that space. And what we always said was that could be a little patient because changes in the foundry/logic world come a little more slowly than in the memory world. And I would say you're starting to see the fruits of those qualification efforts starting to play out in our actual business results.

    是的。假設這可能是我們雙方都在擴展一些新應用的結合,我談到了與新興設備架構、全方位門、新工藝(如選定的蝕刻技術)相關的一些新應用,這些新工藝正在擴大我們的市場機會。但也分享收益,我的意思是我們已經看到代工/邏輯領域的支出增加。多年來,我們一直專注於開發能夠為該領域的客戶帶來真正價值的產品。我們總是說這可能會有點耐心,因為鑄造/邏輯世界的變化比內存世界的變化來得慢一點。我想說的是,您開始看到這些資格認證工作的成果開始在我們的實際業務成果中發揮作用。

  • Operator

    Operator

  • And next, we'll go to C.J. Muse from Evercore.

    接下來,我們將從 Evercore 前往 C.J. Muse。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • I guess first question, hoping to go back to supply constraints, Doug, can you perhaps speak on the revenue side? Where are you seeing the impact? Is it primarily due to perhaps a slower ramp in Malaysia? Are you seeing it across all products or limited to a few? And then curious, as part of that, is it impacting CSBG both for Reliant and upgrades or less so there?

    我想第一個問題,希望回到供應限制,道格,你能不能談談收入方面的問題?你在哪裡看到影響?主要是因為馬來西亞的坡道可能較慢嗎?您是在所有產品中看到它還是僅限於少數產品?然後好奇,作為其中的一部分,它是否會影響 Reliant 和升級的 CSBG 還是更少?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes. C.J., thanks for the question. Frankly, when I look at what we're doing with our own internal manufacturing capability, that's not what the biggest constraint is, it's getting back into the supply chain. I think we've done quite a nice job actually accelerating the ramp of the factory in Malaysia. Tim referred to all the places that we're opening new capacity. We're adding capability pretty much everywhere internally in the network. But as we get further and further down the road and demand continues to be quite strong, we're beginning to see constraints in the supply chain. So we're having to work our way back up through some of those things. And that's the biggest thing we're dealing with right now, C.J.

    是的。 C.J.,謝謝你的提問。坦率地說,當我看到我們正在利用自己的內部製造能力做什麼時,這並不是最大的限制,而是重新回到供應鏈中。我認為我們在加速馬來西亞工廠的生產方面做得非常好。蒂姆提到了我們正在開放新產能的所有地方。我們在網絡內部的幾乎所有地方都添加了功能。但隨著我們走得越來越遠,需求繼續相當強勁,我們開始看到供應鏈受到限制。因此,我們必須通過其中一些事情來恢復原狀。這是我們現在正在處理的最重要的事情,C.J.

  • Tim, I don't know if you want to add anything.

    蒂姆,我不知道你是否想添加任何東西。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • No. I guess I'd just reiterate, we build incredibly complex machines. They do amazing things on the wafer. They require a lot of parts from a lot of different suppliers to do that, including tremendous amount of semiconductors themselves. We've heard so much about chip shortages. Clearly, that hit some of our machines. And it only takes a few of those critical chips to delay us being able to ship what otherwise is a very complex system. So we're, as Doug said, just having to work down through the supply chain through lots of suppliers to find out where those pain points are. And it's a daily activity. But so far, we're working through this and being able to deliver growth and also meet the most urgent needs of our customers. That's really our key focus.

    不,我想我只是重申一下,我們製造了極其複雜的機器。他們在晶圓上做了驚人的事情。他們需要來自許多不同供應商的大量零件才能做到這一點,包括大量的半導體本身。我們聽說過很多關於芯片短缺的事情。顯然,這打擊了我們的一些機器。並且只需要這些關鍵芯片中的一些就可以延遲我們能夠交付原本非常複雜的系統。因此,正如道格所說,我們只需通過許多供應商的供應鏈來找出這些痛點所在。這是一項日常活動。但到目前為止,我們正在努力解決這個問題,並能夠實現增長並滿足客戶最迫切的需求。這確實是我們的重點。

  • Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

    Christopher James Muse - Senior MD, Head of Global Semiconductor Research & Senior Equity Research Analyst

  • Very helpful. As my follow-up, I get the sense whenever I have conversations with you both that you feel like investors underappreciate your foundry/logic exposure. And so within your slide deck and in the prepared comments, you talked quite a bit about kind of new quals, new design wins. And so curious, I think over the last 3 years, your SAM as a percentage of foundry/logic is running around 8%, 9%. When do you think we see an inflection based on these design wins? And what should we be looking for to gauge that?

    很有幫助。作為我的後續行動,每當我與你們交談時,我都會感覺到,你們覺得投資者低估了你們的代工/邏輯風險敞口。所以在你的幻燈片和準備好的評論中,你談了很多關於新的質量,新的設計勝利。非常好奇,我認為在過去 3 年中,您的 SAM 佔代工廠/邏輯的百分比大約為 8%、9%。你認為我們什麼時候會看到基於這些設計勝利的變化?我們應該尋找什麼來衡量這一點?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. It's a great question. And I'm glad you noted. I spent a lot more time in these prepared remarks talking about foundry/logic. I think our memory story and leadership there is pretty well understood. And we want to make sure that people are understanding the progress we're making in foundry/logic and also the new opportunities that are being created for us. And so many of those are for more advanced technologies. It's where device architecture changes or things like a new RC management requirement. RC requirement drives need for new films or new deposition techniques.

    是的。這是一個很好的問題。我很高興你注意到了。我在這些準備好的評論中花了很多時間談論代工/邏輯。我認為我們的記憶故事和領導力很好理解。我們希望確保人們了解我們在代工/邏輯方面取得的進展,以及正在為我們創造的新機會。其中很多都是為了更先進的技術。這是設備架構發生變化或新的 RC 管理要求之類的地方。 RC 需求推動了對新薄膜或新沉積技術的需求。

  • And so I would just say at each technology node, we're seeing an inflection. We're seeing more of our equipment have a chance to get inserted, get qualified and become part of the process of record. And so I don't think you're going to see like a single point in time or a single node where you see a big jump up, but you're going to see the steady progression as we make progress on both SAM expansion and share gains.

    所以我只想說,在每個技術節點,我們都看到了變化。我們看到我們的更多設備有機會被插入、獲得合格並成為記錄過程的一部分。所以我不認為你會看到一個單一的時間點或一個節點,你會看到一個大的跳躍,但你會看到穩定的進展,因為我們在 SAM 擴展和分享收益。

  • Operator

    Operator

  • And next, we'll go to Krish Sankar from Cowen and Company.

    接下來,我們將前往 Cowen and Company 的 Krish Sankar。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • I had 2 of them. First one, I think I just want to clarify, Tim or Doug. It seems like the supply chain constraints are impacting your revenue, even though demand is strong and it's impacting your margins. So should we assume this $4.4 billion revenue, 46% gross margin, is where you're going to be saturated until the supply constraints ease? Or do you think it's going to be more a gradual recovery? And then I have a follow-on.

    我有兩個。第一個,我想我只是想澄清一下,蒂姆或道格。供應鏈限制似乎正在影響您的收入,即使需求強勁並且正在影響您的利潤。那麼我們是否應該假設這 44 億美元的收入和 46% 的毛利率在供應限制緩解之前會達到飽和狀態嗎?還是您認為這將是一個逐漸恢復的過程?然後我有一個後續。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes. Let me start, and then I'll let Tim add on. Krish, I think some of the cost headwinds we're seeing are going to be around for a while. That's basically what I intimated. We're doing our best to work through it in an efficient and effective manner. But frankly, we're spending money to try to continue to increase our output capability. And like I said in my prepared remarks, we're making progress on lots of different things, but we then see other things popping up. Like I said, we're working our way back through the supply chain, and Tim referred to the fact that actually semiconductors are constraining us a little bit. So we're doing our best to work through it. We're mitigating problems. We're incrementally increasing capability as we go. And I think it's going to get better bit by bit is what I would describe, Krish.

    是的。讓我開始,然後讓蒂姆補充。 Krish,我認為我們看到的一些成本阻力將持續一段時間。這基本上就是我所暗示的。我們正在盡最大努力以高效和有效的方式解決它。但坦率地說,我們正在花錢嘗試繼續提高我們的輸出能力。就像我在準備好的講話中所說的那樣,我們在很多不同的事情上都取得了進展,但我們會看到其他事情突然出現。就像我說的,我們正在通過供應鏈回溯,蒂姆提到了一個事實,即半導體實際上對我們有一點限制。因此,我們正在盡最大努力解決它。我們正在緩解問題。隨著我們的發展,我們正在逐步提高能力。而且我認為它會一點一點變得更好,這就是我要描述的,Krish。

  • I don't know, Tim, if you want to...

    我不知道,蒂姆,如果你想...

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I think that's a pretty good explanation. It will continue to improve as we knock down each of the problem as it comes up. And assuming that there aren't bigger surprises, we would see ourselves gradually improving from this point forward just as we had supply constraints in the September quarter and managed through those, and we will have more in the December quarter. So it's constrained, but we're managing to knock enough off that we're showing some incremental growth, and I think that's what you could probably expect to continue to see.

    是的。我認為這是一個很好的解釋。隨著我們解決出現的每個問題,它將繼續改進。假設沒有更大的驚喜,我們會看到自己從這一點開始逐漸改善,就像我們在 9 月季度遇到供應限制並通過這些限制進行管理一樣,我們將在 12 月季度獲得更多。所以它受到限制,但我們正在設法完成足夠的工作,我們正在顯示一些增量增長,我認為這就是你可能期望繼續看到的。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • Got it. Very helpful. And then a follow-up for you, Tim. You spoke about the cryo etch product, which kind of makes a ton of sense given the extremely long etch times today in 3D NAND, and you also said this also improves etch rate. But isn't it negative for you since customers would [made sure] of your etch tools? Or is this needed to protect and grow your market share?

    知道了。很有幫助。然後是你的後續行動,蒂姆。您談到了低溫蝕刻產品,考慮到當今 3D NAND 中極長的蝕刻時間,這種產品非常有意義,您還說這也提高了蝕刻率。但這對您來說不是負面的,因為客戶會[確定]您的蝕刻工具嗎?或者這是否需要保護和擴大您的市場份額?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, I think that, like all things, when you're providing the technology that's needed to not only enable the current nodes but future technology nodes, we have an obligation to drive both technology and productivity and make sure the scaling is cost-effective and the road map continues. And so this is our effort to not only differentiate our technology further from any companies that may try to develop similar technologies but also to help our customers with those transitions and accelerate in the state-of-the-art NAND.

    好吧,我認為,就像所有事情一樣,當您提供不僅支持當前節點而且支持未來技術節點所需的技術時,我們有義務推動技術和生產力,並確保擴展具有成本效益路線圖仍在繼續。因此,我們的努力不僅是為了使我們的技術與任何可能嘗試開發類似技術的公司進一步區分開來,而且也是為了幫助我們的客戶進行這些過渡並加速採用最先進的 NAND。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • And Krish, I'll just remind you something Tim said in his scripted remarks that as we look at the NAND investment required to generate the bit growth over the next 5 years as compared to the previous 5 years, it's increasing. That includes cryo rolling out and increasing etch rates as a result of that.

    還有 Krish,我將提醒您 Tim 在他的腳本評論中所說的話,當我們查看與前 5 年相比在未來 5 年產生比特增長所需的 NAND 投資時,它正在增加。這包括低溫推出和因此而提高蝕刻率。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes.

    是的。

  • Krish Sankar - MD & Senior Research Analyst

    Krish Sankar - MD & Senior Research Analyst

  • Got it. I think that's a very fair explanation.

    知道了。我認為這是一個非常公平的解釋。

  • Operator

    Operator

  • And our next question comes from Joe Moore with Morgan Stanley.

    我們的下一個問題來自摩根士丹利的喬摩爾。

  • Joseph Lawrence Moore - Executive Director

    Joseph Lawrence Moore - Executive Director

  • I wanted to ask about NAND. Tim, in your opening remarks, you had mentioned that the 5-year spending in NAND needs to be higher than the last 5 years, I think, is what you said. Any quantification of how much that is? And just any qualification of why layer count is that much more capital-intensive? You were increasing layers in the last 5 years. You are increasing layers in the next 5 years. What is it that drives up the capital intensity?

    我想問一下NAND。 Tim,在你的開場白中,你提到了 NAND 的 5 年支出需要高於過去 5 年,我認為這就是你所說的。有多少可以量化嗎?以及為什麼層數更加資本密集的任何限定?在過去的 5 年中,您增加了層數。在接下來的 5 年中,您將增加層數。是什麼推高了資本密集度?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. Sure. So we did say that the WFE required to achieve the same percentage of bit growth would be higher in the next 5 years. And it's a number of things. One is as the layer count continues to increase, certain processes scale, as we said, nonlinearly. So it takes longer to etch a stack that's twice as high. It takes more than 2x longer. And that's why we need to introduce new technologies like cryo etch and other processes to keep that escalation of process times to a reasonable level. Same thing can happen with deposition as you're trying to control uniformities and defects as stacks become much higher and taller.

    是的。當然。所以我們確實說過,在未來 5 年實現相同百分比的比特增長所需的 WFE 會更高。這是很多事情。一是隨著層數的不斷增加,某些過程會非線性地擴展,正如我們所說。因此,蝕刻兩倍高的堆棧需要更長的時間。它需要超過 2 倍的時間。這就是為什麼我們需要引入新技術,如低溫蝕刻和其他工藝,以將工藝時間的升級保持在合理的水平。當你試圖控制均勻性和缺陷時,沉積也會發生同樣的事情,因為堆棧變得越來越高。

  • And so in addition to that, I mentioned several steps that get added. So as you start to stack higher, the wafer stress and bow becomes a much bigger challenge. And so now you have to add extra steps like Lam's stress management deposition tool. Those additional steps add to the WFE required to add a bit of NAND. And so that is a combination of both new steps to deal with complexity as well as new processes required to deal with kind of nonlinear scaling process times.

    因此,除此之外,我還提到了添加的幾個步驟。因此,當您開始堆疊更高時,晶圓應力和彎曲就成為更大的挑戰。所以現在你必須添加額外的步驟,比如 Lam 的壓力管理沉積工具。這些額外的步驟增加了添加一些 NAND 所需的 WFE。因此,這是處理複雜性的新步驟以及處理非線性縮放過程時間所需的新過程的組合。

  • Operator

    Operator

  • And next, we'll go to Stacy Rasgon from Bernstein Research.

    接下來,我們將介紹伯恩斯坦研究中心的 Stacy Rasgon。

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • My first one, I wanted to take a look at the foundry business. So it was down like 25% sequentially, and it looks like it was down year-over-year in what seems to be a very, very strong foundry spending environment. So why was that? Can you tell us a little bit what's going on in that end market? Why was it down?

    我的第一個,我想看看代工業務。因此,它連續下降了 25%,而且在看起來非常非常強勁的代工支出環境中,它看起來同比下降了。那是為什麼?你能告訴我們一些終端市場發生了什麼嗎?為什麼倒了?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Stacy, nothing goes up every single quarter. There's ebbs and flows. It's a second half-weighted foundry/logic spending profile. It's going to be a good quarter in December. But when you got a concentrated set of a couple of really big customers, it's lumpy at times. I think that's the only thing I'd tell you about it.

    史黛西,每個季度都沒有任何進展。有起有落。這是第二個半加權代工/邏輯支出概況。 12 月將是一個不錯的季度。但是,當你有幾個真正的大客戶集中在一起時,它有時會很混亂。我想這是我唯一要告訴你的。

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • Okay. So I guess to follow up on that in terms of what might be implied for the trajectory into Q4, so you said Foundry/Logic up second half versus first half, DRAM up second half versus first half, NAND kind of more balanced. I guess given where NAND is and given where DRAM is like in order to have that Foundry still to be up in the second half, you need to have DRAM down considerably in the December quarter in order to get Foundry up. Is that what you have in mind? Or when you say Foundry/Logic growing in the second half, do you mean just as a combination growing?

    好的。所以我想就第四季度的軌跡可能暗示的內容進行跟進,所以你說 Foundry/Logic 下半年與上半年相比上升,DRAM 下半年與上半年相比上升,NAND 更平衡。我想考慮到 NAND 的位置和 DRAM 的位置,為了讓 Foundry 在下半年仍然保持增長,你需要在 12 月季度大幅降低 DRAM 才能讓 Foundry 上升。這就是你的想法嗎?或者當你說 Foundry/Logic 在下半年增長時,你的意思是作為一個組合增長嗎?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • It's a combination, right? We said Foundry/Logic and DRAM up in the second half and NAND more balanced.

    這是一個組合,對吧?我們說 Foundry/Logic 和 DRAM 在下半年上漲,NAND 更加平衡。

  • Stacy Aaron Rasgon - Senior Analyst

    Stacy Aaron Rasgon - Senior Analyst

  • I guess what I'm asking is do you see Foundry and Logic both up in the second half or just the combination because I can't really get Foundry up in the second half unless you got DRAM down a bunch in the end of December quarter just mathematically. Like am I thinking about this right or what?

    我想我要問的是,你是否看到 Foundry 和 Logic 在下半年都在上漲,或者只是組合起來,因為我無法真正讓 Foundry 在下半年上漲,除非你在 12 月底季度的 DRAM 下跌了很多只是在數學上。就像我在考慮這個權利還是什麼?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Stacy, we're combining Foundry/Logic together when I described it.

    Stacy,當我描述它時,我們將 Foundry/Logic 結合在一起。

  • Operator

    Operator

  • And next, we'll go to Blayne Curtis from Barclays.

    接下來,我們將前往巴克萊銀行的 Blayne Curtis。

  • Blayne Peter Curtis - Director & Senior Research Analyst

    Blayne Peter Curtis - Director & Senior Research Analyst

  • I have 2 as well. Just curious to a couple of prior questions on the Logic segment. You talked about really trailing edge kind of driving that big spike. But then I think to a prior question, you kind of mentioned some of the future wins you have at the leading edge. So just maybe kind of just revisit that when you talked about -- I think you said that it could maybe stay at this level, to John's question. Is that just the trailing edge? Or are you seeing that leading edge starting to come in even in the December quarter?

    我也有2個。只是對邏輯部分的幾個先前問題感到好奇。你談到了真正的尾隨驅動那種大尖峰。但後來我想到一個先前的問題,你提到了一些你在領先優勢的未來勝利。所以,當你談到約翰的問題時,也許只是重新審視一下——我想你說過它可能會保持在這個水平。這只是後緣嗎?或者您是否看到即使在 12 月季度也開始出現領先優勢?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. No, maybe we didn't want to mislead here. I mean we talked about the Reliant business and trailing edge as a notable area of strength for Lam and a sign of this broadening of demand across many, many different use cases, including industries like our own where we're consuming a tremendous amount of that type of chip. But we do have significant business at what we would call the current leading edge. And what I was trying to point out is how our SAM expands with new processes and how our win rate is increasing, we believe, as new inflections come into place. So that's all built into our numbers today. But we do think that from our share of WFE going forward as these technology inflections at Foundry/Logic take place, we would see that driving higher over the next several years.

    是的。不,也許我們不想在這裡誤導。我的意思是,我們談到了 Reliant 業務和後向優勢,這是 Lam 的一個顯著優勢領域,也是許多不同用例的需求擴大的一個跡象,包括像我們這樣的行業,我們正在消耗大量此類用例芯片類型。但我們確實在我們所謂的當前領先優勢上擁有重要業務。我想指出的是,我們的 SAM 如何隨著新流程的擴展而擴展,以及我們相信,隨著新的變化出現,我們的勝率如何提高。所以這一切都融入了我們今天的數字。但我們確實認為,隨著 Foundry/Logic 發生這些技術變化,從我們在 WFE 中的份額向前看,我們會看到未來幾年這一比例會更高。

  • Blayne Peter Curtis - Director & Senior Research Analyst

    Blayne Peter Curtis - Director & Senior Research Analyst

  • And then maybe a question for Doug on gross margin. It sounded like there's still some variability in the outlook, some questions about whether factories like Malaysia get better. Just kind of curious, I mean, your foundry customers are raising pricing. Their customers are raising pricing. Everybody is raising pricing. Is there a lever that you can also pull as an industry on pricing? I was just curious your thoughts.

    然後也許是 Doug 關於毛利率的問題。聽起來前景仍然存在一些變化,一些關於像馬來西亞這樣的工廠是否會變得更好的問題。有點好奇,我的意思是,你的代工客戶正在提高價格。他們的客戶正在提高價格。每個人都在提高價格。作為一個行業,您是否也可以在定價方面發揮槓桿作用?我只是好奇你的想法。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes. Blayne, we're doing our best to get paid fairly for what we're delivering to customers and get paid for the value we're delivering. So that's an ongoing activity at the company. And a lot of our pricing arrangements are, on an annual basis, renegotiated. And clearly, we're focused on trying to get gross margin to improve over time. So that'll be a part of it.

    是的。 Blayne,我們正在盡最大努力為我們向客戶提供的產品公平地獲得報酬,並為我們提供的價值獲得報酬。所以這是公司正在進行的一項活動。我們的許多定價安排每年都會重新協商。顯然,我們專注於努力讓毛利率隨著時間的推移而提高。所以這將是其中的一部分。

  • Operator

    Operator

  • And next, we'll go to Toshiya Hari from Goldman Sachs.

    接下來,我們將從高盛前往 Toshiya Hari。

  • Toshiya Hari - MD

    Toshiya Hari - MD

  • My first question is on 2022. I realize it's early, you guys talked about your expectations for the market to be up. How are you thinking about the 4 device types? If you had to rank order the 4, how would you go about doing that? And more importantly, how should we think about Lam's ability to outperform the market in '22? I guess the big concern is memory is flat to flattish maybe, and logic and foundry continue to be the big drivers. All else equal, that would be a bit of a headwind for you guys just given your customer mix. But at the same time, you've talked about all these design wins and your market share growth in foundry and logic. So net-net, how should we think about your performance vis-a-vis WFE?

    我的第一個問題是關於 2022 年。我意識到現在還早,你們談到了對市場上漲的預期。您如何看待這 4 種設備類型?如果你必須對 4 進行排序,你會怎麼做呢?更重要的是,我們應該如何看待林在 22 年跑贏大市的能力?我想最大的擔憂是內存可能持平到持平,邏輯和代工仍然是主要驅動力。在其他條件相同的情況下,考慮到您的客戶組合,這對你們來說有點不利。但與此同時,您談到了所有這些設計勝利以及您在代工和邏輯方面的市場份額增長。那麼 net-net,我們應該如何看待您相對於 WFE 的表現?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes, Toshiya, it's too soon for us to give you specificity in 2022. You know what, when we look into the year, though, 2022, I'm very confident of the growth here. I believe every segment of the business is actually going to be pretty strong next year. But I'm not really ready to parse it one versus another quite yet. Obviously, we'll do that for you next quarter.

    是的,Toshiya,我們現在給你具體的 2022 年還為時過早。你知道嗎,當我們展望 2022 年時,我對這裡的增長非常有信心。我相信明年業務的每個部分實際上都會非常強勁。但我還沒有真正準備好一對一地解析它。顯然,我們將在下個季度為您執行此操作。

  • And I feel really good about where we're positioned, right? Tim talked a lot about the trajectory of our business in foundry and logic. We've always been strong in memory, and I believe we're going to continue to do extremely well there. So too soon for me to parse it there. And by the way, I think CSBG is going to have a good year next year, right? When I put it all together, I think we're very well positioned and going to continue to be. Stay tuned. We'll give you a little more specificity on the December quarter call.

    我對我們所處的位置感覺非常好,對吧? Tim 談了很多關於我們在代工和邏輯方面的業務發展軌跡。我們的記憶力一直很強,我相信我們會繼續在那裡做得非常好。太快了,我無法在那裡解析它。順便說一句,我認為 CSBG 明年會過得很好,對吧?當我把這一切放在一起時,我認為我們的定位非常好,並將繼續如此。敬請關注。我們將在 12 月季度電話會議上為您提供更多具體信息。

  • Toshiya Hari - MD

    Toshiya Hari - MD

  • Got it. And then a quick follow-up on your last point, Doug, on CSBG. You talked about the Reliant business having a record quarter which, I guess, implies that your spare parts business and other parts of your business could have been down a little bit. And I appreciate your point about there being some lumpiness, if you will, on a quarter-to-quarter basis. But if you can speak to what you're seeing in the non-Reliant business and CSBG near term, that would be helpful. And then I guess you sort of addressed this in your prior answer, but how confident are you that calendar '22 could be another up year after what's been a very strong year in CSBG?

    知道了。然後是關於 CSBG 的最後一點 Doug 的快速跟進。您談到 Reliant 業務創紀錄的季度,我猜這意味著您的備件業務和您業務的其他部分可能會有所下降。如果您願意的話,我很欣賞您關於每季度存在一些波動的觀點。但是,如果你能談談你在近期非依賴業務和 CSBG 中看到的情況,那將會很有幫助。然後我想您在之前的回答中已經解決了這個問題,但是您對 22 年日曆在 CSBG 非常強勁的一年之後的又一個上升年有多大信心?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Toshiya, you're right, there's lumpiness to different aspects of it. Tim specifically said 11 consecutive quarters of record revenue for Reliant. Obviously, there's ebb and flow and other stuff. As inventory builds a little bit, upgrades can be a little bit lumpy at times, depending on what's going on in the installed base. But I'll tell you, when I think about CSBG going into next year, it's been a very strong year in terms of our chamber shipment this year. That's the opportunity to continue to have CSBG grow into next year. And that's why I have pretty high confidence that this business will grow every single year. It may not grow every single quarter, right? We were flat this quarter, but we're shipping a lot of chambers this year, and I feel pretty good about what that's going to mean for CSBG next year.

    Toshiya,你是對的,它的不同方面都有塊狀。蒂姆特別提到了 Reliant 連續 11 個季度的創紀錄收入。顯然,有潮起潮落和其他東西。隨著庫存的增加,升級有時可能會有點不穩定,具體取決於已安裝基礎的情況。但我會告訴你,當我考慮到明年的 CSBG 時,就我們今年的腔室出貨量而言,這是非常強勁的一年。這是繼續讓 CSBG 成長到明年的機會。這就是為什麼我對這項業務每年都會增長充滿信心的原因。它可能不會每個季度都增長,對吧?本季度我們持平,但今年我們出貨了很多房間,我對明年的 CSBG 意味著什麼感覺很好。

  • Operator

    Operator

  • And next, we'll go to Vivek Arya from Bank of America Securities.

    接下來,我們將介紹美國銀行證券公司的 Vivek Arya。

  • Vivek Arya - Director

    Vivek Arya - Director

  • You mentioned the supply situation could improve over the next several quarters. I'm curious, what do you think changes to help that? Is that actions you are taking? Is that actions your suppliers are taking? I'm just curious what's kind of behind your confidence that the supply situation can actually improve from here.

    您提到未來幾個季度供應情況可能會有所改善。我很好奇,你認為有什麼改變可以幫助做到這一點?這是你正在採取的行動嗎?您的供應商正在採取這些行動嗎?我只是好奇你相信供應情況實際上可以從這裡改善的背後是什麼。

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I think it would be perhaps actions we're taking with our suppliers. I mean it's about helping to prioritize our critical supply chain partners towards the things that are most important to meeting output quarter-by-quarter. The actions we've taken ourselves, we've kind of detailed those out. If we look back 6 months ago or more, we were talking about physical capacity needing to open factories, expand factories. And we've really taken care of a lot of our own actions. But in a very complex supply chain, we've got to do the same work with all of our partners. And they've been great through that. But there's still more work to be done and we just anticipate that over the next several quarters, we'll continue to make progress.

    是的。我認為這可能是我們對供應商採取的行動。我的意思是幫助我們的關鍵供應鏈合作夥伴優先考慮對滿足每季度產出最重要的事情。我們自己採取的行動,我們已經詳細說明了這些。如果我們回顧 6 個月或更長時間前,我們談論的是開設工廠、擴大工廠所需的物理能力。而且我們真的照顧了很多我們自己的行為。但是在一個非常複雜的供應鏈中,我們必須與我們所有的合作夥伴一起做同樣的工作。他們在這方面做得很好。但是還有更多工作要做,我們只是預計在接下來的幾個季度中,我們將繼續取得進展。

  • Vivek Arya - Director

    Vivek Arya - Director

  • Got it. And for my follow-up, you sound more confident about next year, both on the tools and the CSBG side. Is that based on the backlog of orders? Is that based more on structural drivers? And where I'm coming from is that customers are seeing the current state of the industry and supply shortages. What gives you the confidence they are not overordering given this environment, that these orders are for real? So if you look at your confidence about next year, how would you kind of contrast those signals to what you usually have at this point than prior years?

    知道了。對於我的後續行動,你聽起來對明年更有信心,無論是在工具方面還是在 CSBG 方面。這是基於訂單的積壓嗎?這更多地基於結構性驅動因素嗎?我的出發點是客戶看到了行業的現狀和供應短缺。在這種環境下,是什麼讓你有信心他們不會過度訂購,這些訂單是真實的?所以,如果你看看你對明年的信心,你會如何將這些信號與你通常在這一點上比往年擁有的信號進行對比?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. It's a very good question, something we watch closely. We want to make sure that demand is as real as possible. I mentioned our lead times. Our conversations with customers, our understanding of projects, I would say, is better at this point because our customers are planning for very big projects, mega fabs. They're experiencing difficulties in getting equipment. And so we're having much deeper conversations with them about how we can ensure that, that equipment will be available when they need it. And so I would say compared to normal, we have a little bit longer visibility than we typically have.

    是的。這是一個很好的問題,我們密切關注。我們希望確保需求盡可能真實。我提到了我們的交貨時間。我們與客戶的對話,我們對項目的理解,我想說,在這一點上更好,因為我們的客戶正在計劃非常大的項目,大型晶圓廠。他們在獲得設備方面遇到了困難。因此,我們正在與他們進行更深入的對話,討論我們如何確保設備在他們需要時可用。所以我想說,與正常情況相比,我們的能見度比我們通常的要長一些。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • And Vivek, obviously, we know every fab in the world, how big it is, how much equipment it can take. And we think through that as we're talking to customers about when they want equipment in those clean room spaces. So that goes into part of the thinking as well.

    而 Vivek,顯然,我們知道世界上的每一個晶圓廠,它有多大,它可以使用多少設備。當我們與客戶討論他們何時需要這些潔淨室空間中的設備時,我們會考慮到這一點。因此,這也成為了思考的一部分。

  • Operator

    Operator

  • And next, we'll go to Patrick Ho from Stifel.

    接下來,我們將從 Stifel 前往 Patrick Ho。

  • J. Ho - MD of Technology Sector

    J. Ho - MD of Technology Sector

  • Congrats on a really nice quarter given the environment. Doug, maybe just a follow-up question on the Customer Support Business Group. You guys have done a really, really good job growing the Reliant business. Obviously, the TAM of that marketplace is growing, and you're capitalizing from that. Are you getting any "share wins" because customers, especially on that front, are looking for more productivity? There are new materials engineering that's going on in some of those, I guess, trailing edge processes. Are you actually gaining share also and getting placements with the Reliant business for some of those more mature nodes?

    鑑於環境,恭喜一個非常好的季度。 Doug,也許只是關於客戶支持業務組的後續問題。你們在發展 Reliant 業務方面做得非常非常好。顯然,該市場的 TAM 正在增長,您正在從中獲利。您是否因為客戶(尤其是這方面的客戶)正在尋求更高的生產力而獲得任何“分享勝利”?我猜,在其中一些前沿工藝中正在進行新的材料工程。您是否實際上也獲得了份額並在 Reliant 業務中為一些更成熟的節點獲得了展示位置?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • That's a good question, Patrick. Yes, we are. I mean we go to market there through the Reliant product line, as you know, which is refurbished equipment. And increasingly, we're selling new equipment. And when I look at some of the new customers or customers that are taking equipment, our footprint is doing quite well, frankly, in the specialty space. And we have some very specific things that we're very, very strong at. So yes, I think we're doing well.

    這是個好問題,帕特里克。是的,我們是的。我的意思是,我們通過 Reliant 產品線進入那裡的市場,如您所知,這是翻新設備。而且,我們越來越多地銷售新設備。當我看到一些新客戶或正在使用設備的客戶時,坦率地說,我們在專業領域的足跡做得很好。我們有一些非常具體的事情,我們非常非常擅長。所以是的,我認為我們做得很好。

  • I don't know, Tim, if...

    我不知道,蒂姆,如果...

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Yes. I guess what I would just add is, as people are trying to work through supply constraints and increase their output out of the fab, the most valuable part of our CSBG business to them is the productivity upgrades segment. Those are upgrades that can be applied to existing equipment. Many times, not even really changing the process, it might be software optimization to optimize the wafer handling through the machine and thereby gain some small improvement in throughput. We definitely see, as utilizations really hit like max levels, people looking for productivity upgrades. They can implement to squeeze more out of the installed base. And so that part of our business always does quite well, but I would say in this environment, doing quite well.

    是的。我想我要補充的是,當人們試圖解決供應限制並增加他們的工廠產量時,我們 CSBG 業務對他們來說最有價值的部分是生產力升級部分。這些是可以應用於現有設備的升級。很多時候,甚至沒有真正改變工藝,它可能是軟件優化,以優化通過機器的晶圓處理,從而在吞吐量上獲得一些小的改進。我們肯定看到,隨著利用率真的達到最高水平,人們正在尋求生產力升級。他們可以實施以從已安裝的基礎中擠出更多。所以我們業務的那部分總是做得很好,但我想說在這種環境下,做得很好。

  • Operator

    Operator

  • And next, we'll go to Sidney Ho from Deutsche Bank.

    接下來,我們將從德意志銀行前往 Sidney Ho。

  • Shek Ming Ho - Director & Senior Analyst

    Shek Ming Ho - Director & Senior Analyst

  • My first question is going back to supply constraint. I got to give this a try. If you look at your revenue year-to-date, how much more revenue do you think you could have shipped if there were no constraints? If you're not answering quantitatively, are there some metrics that you can point to, to help us think about that dynamic, deferred revenue orders? I know you don't disclose orders anymore.

    我的第一個問題是回到供應限制。我得試試這個。如果您查看年初至今的收入,您認為如果沒有限制,您可以增加多少收入?如果您沒有定量回答,是否可以指出一些指標來幫助我們考慮動態的遞延收入訂單?我知道你不再透露訂單了。

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes. No, Sidney, we're not going to quantify it right now, but we are constrained. Our lead times are stretched. It's a decent amount of unmet demand. I mean there's a reason that I said unmet demand, lead time and so forth. We're working our way through it, but I'm not going to quantify it.

    是的。不,西德尼,我們現在不打算量化它,但我們受到了限制。我們的交貨時間很長。這是相當數量的未滿足需求。我的意思是我說未滿足的需求、交貨時間等是有原因的。我們正在努力解決它,但我不會量化它。

  • Shek Ming Ho - Director & Senior Analyst

    Shek Ming Ho - Director & Senior Analyst

  • Okay. Maybe I'll switch over and ask about the memory side. What are your thoughts about the bit supply growth run rate exiting this year for DRAM and NAND? It seems like some of the CapEx spending in the early part of this year should turn into output by the end of this year. And kind of related to that, I assume most of the memory CapEx will be for technology transition, but how should we think about what portion of the WFE is more driven by wafer additions and hence, more variable in nature?

    好的。也許我會切換並詢問內存方面的問題。您對今年 DRAM 和 NAND 的位供應增長率有何看法?今年年初的部分資本支出似乎應該在今年年底前轉化為產出。與此相關的是,我假設大部分內存資本支出將用於技術轉型,但我們應該如何考慮 WFE 的哪一部分更受晶圓添加驅動,因此在本質上更具可變性?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • Yes. Sidney, when I look at both NAND and DRAM this year, it's a combination of both wafer adds. And you always get node conversions in both NAND and DRAM, and that's very much what we see going on. More wafer adds this year than last year, obviously. That's just the nature of where demand is in the industry. And when I think about supply growth, when I look at the investments that are occurring this year, I think NAND is pretty balanced in terms of supply demand growth for the year. I think DRAM is still a little bit constrained, frankly. So that's generally what we see at Lam.

    是的。 Sidney,當我今年看 NAND 和 DRAM 時,它是兩種晶圓添加的組合。而且你總是會在 NAND 和 DRAM 中獲得節點轉換,而這正是我們所看到的。顯然,今年增加的晶圓比去年多。這就是行業需求所在的性質。當我考慮供應增長時,當我查看今年發生的投資時,我認為 NAND 在今年的供應需求增長方面非常平衡。坦率地說,我認為 DRAM 仍然有點受限。所以這通常是我們在 Lam 看到的。

  • Operator

    Operator

  • And next, we'll go to Joseph Quatrochi from Wells Fargo.

    接下來,我們將從富國銀行前往 Joseph Quatrochi。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • I want to go back to your comment around WFE investment required to achieve the same bit growth in NAND. I was curious, how do you compare that growth and that required investment at DRAM? Is one growing faster than the other?

    我想回到你關於在 NAND 中實現相同位增長所需的 WFE 投資的評論。我很好奇,你如何比較這種增長和 DRAM 所需的投資?一個比另一個增長得快嗎?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • I'm not sure I got the question. Try it one more time.

    我不確定我得到了這個問題。再試一次。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • Yes. So I mean you talked about the increase in investment to drive the same amount of bit growth in NAND. I assume that that's a similar trend that we're seeing in DRAM. But I was curious of, is NAND growing faster than DRAM that level of investment to drive bit growth? Or is DRAM investment growing faster?

    是的。所以我的意思是你談到了增加投資以推動 NAND 中相同數量的位增長。我認為這與我們在 DRAM 中看到的趨勢相似。但我很好奇,在推動比特增長的投資水平上,NAND 的增長速度是否比 DRAM 快?還是DRAM投資增長更快?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • You're absolutely right that they're both growing in order to get the same amount of bit growth. I'm not ready to compare one to the other necessarily, but they're both growing. It's getting more and more challenging. Capital intensity is going up to get the same level of bit growth in both NAND and DRAM.

    你是絕對正確的,它們都在增長以獲得相同數量的比特增長。我還沒有準備好將一個與另一個進行比較,但它們都在成長。它變得越來越具有挑戰性。資本密集度正在上升,以在 NAND 和 DRAM 中獲得相同水平的位增長。

  • Joseph Michael Quatrochi - Senior Equity Analyst

    Joseph Michael Quatrochi - Senior Equity Analyst

  • Okay. Fair enough. And then just as a follow-up, 3D DRAM seems to be kind of this growing topic of interest. I was just curious, what's Lam's thoughts in terms of the timing of that and then just the opportunity for you guys looking out to that technology?

    好的。很公平。然後,作為後續,3D DRAM 似乎是這個越來越受關注的話題。我只是好奇,Lam 的想法是什麼?

  • Timothy M. Archer - President, CEO & Director

    Timothy M. Archer - President, CEO & Director

  • Well, it's still pretty far out from a production perspective. But clearly, what we've always said is anything that transitions devices in the third dimension requires a lot of etch and deposition equipment to build and create those complex 3-dimensional structures. So clearly, a great opportunity for Lam as that inflection takes place. What I can say is, of course, we're engaged at this point in the early days of developing what those architectures and materials will look like. And as we get closer to defining those process flows, I'm sure there will be more discussion about that part of our business.

    好吧,從生產的角度來看,這還很遙遠。但很明顯,我們一直說的任何在三維中轉換設備的東西都需要大量的蝕刻和沈積設備來構建和創建那些複雜的 3 維結構。很明顯,當這種轉折發生時,對林來說是一個很好的機會。當然,我可以說的是,我們正處於開發這些架構和材料外觀的早期階段。隨著我們越來越接近定義這些流程,我相信將會有更多關於我們業務的這一部分的討論。

  • Operator

    Operator

  • Our last question comes from Quinn Bolton with Needham.

    我們的最後一個問題來自 Quinn Bolton 和 Needham。

  • Nathaniel Quinn Bolton - Senior Analyst

    Nathaniel Quinn Bolton - Senior Analyst

  • Doug, I just wanted to come back to that unmet demand. I know you're not going to quantify it. But if you just look back over the past couple of quarters, has that continued to grow even as you've been able to increase capacity and revenue?

    道格,我只是想回到那個未滿足的需求。我知道你不會量化它。但是,如果您回顧過去幾個季度,即使您能夠增加產能和收入,這種情況是否還在繼續增長?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • I'm not going to tell you how it's moved sequentially. It was there last quarter. It's there this quarter. We're working to kind of mitigate it, work our way through, but I'm not going to compare it 1 quarter to the next.

    我不會告訴你它是如何按順序移動的。上個季度就在那裡。這個季度就在那裡。我們正在努力減輕它,努力解決問題,但我不打算將它與下一個季度進行比較。

  • Nathaniel Quinn Bolton - Senior Analyst

    Nathaniel Quinn Bolton - Senior Analyst

  • Got it. And the second question, just a follow-up on Malaysia. Do you have any sense or can you give us any sense when you think that stops being a drag? Is that still a couple of quarters out? Or is that something you'd rather not specify?

    知道了。第二個問題,只是對馬來西亞的跟進。當您認為這不再是拖累時,您是否有任何意義或能給我們任何意義?那還有幾個季度嗎?或者那是你不想指定的東西?

  • Douglas R. Bettinger - Executive VP, CFO & CAO

    Douglas R. Bettinger - Executive VP, CFO & CAO

  • It will get better as we ramp the factory. And I think by the time we get to the second half of '22, it'll begin to be a benefit to gross margin as opposed to a headwind. We just need to get it ramped. There's fixed cost there, and we're working on that.

    隨著我們擴大工廠規模,情況會變得更好。而且我認為到 22 年下半年時,它將開始對毛利率產生好處,而不是逆風。我們只需要讓它加速。那裡有固定成本,我們正在努力。

  • Okay, operator, I think that's it for us. Tina, do you want to close this?

    好的,接線員,我想這對我們來說就是這樣。蒂娜,你要關閉這個嗎?

  • Tina Correia - Corporate VP of IR & Corporate Finance

    Tina Correia - Corporate VP of IR & Corporate Finance

  • Yes. We just want to tell everyone we appreciate your support, and thank you for joining our call today.

    是的。我們只想告訴大家,我們感謝您的支持,並感謝您今天加入我們的電話會議。

  • Operator

    Operator

  • And that does conclude our call for today. Thank you for your participation. You may now disconnect.

    這確實結束了我們今天的呼籲。感謝您的參與。您現在可以斷開連接。