科磊 (KLAC) 2001 Q4 法說會逐字稿

完整原文

使用警語:中文譯文來源為 Google 翻譯,僅供參考,實際內容請以英文原文為主

  • Editor

  • KLA-TENCOR FOURTH QUARTER FISCAL YEAR 2001 EARNINGS CONFERENCE CALL

  • Operator

  • Ladies and gentlemen thank you for standing by and welcome to the KLA-Tencor fourth quarter fiscal year 2001 earnings conference call. During the presentation, all participants will be in a listen only mode. Afterwards, you will be invited to participate in the question and answer session. At that time, if you have a question, you will need to press the '1' followed by the '4' on your telephone. As a reminder, this conference is being recorded Tuesday, July 31st, 2001. I would now like to turn the call over to Mr. John Kispert, Chief Financial Officer. Please go ahead sir.

  • JOHN H. KISPERT

  • Thank you Mary. Good afternoon, and welcome to the KLA-Tencor conference call. I am John Kispert the CFO. We are here today to discuss our fiscal fourth quarter results for the period ended June 30th. We released these results this afternoon at 1 o'clock Pacific Coast Time. If you have not seen the release, you can find a copy on our website at klatencor.com or call 408-875-3600 to request a copy. A simulcast of this call is also available on our website at www.klatencor.com, and replays will be accessible for 2 weeks. The link for the release and the web cast can be found in the investor relations section of our website. Joining me on the call today is Ken Schroeder our President and CEO. Ken will lead off today's call with a discussion of industry trends and KLA-Tencor's specific progress and strategies. Also with me is Gary Dickerson, our Chief Operating Officer, as our guest speaker, to discuss in detail KLA-Tencor's critical dimension or CD solution which enables our customers to control their ever narrowing process windows in the lithography cell and to improve high performance device yields while reducing the cost of ownership. Following Gary, I will provide the financial details and a discussion of SAB 101. This is our first period of reporting results under SAB 101, so I will spend some time discussing its current impact. Then I will open the call up for questions until 3 o'clock Pacific Time. Before turning it over to Ken, I have a few announcements. First, our conference call for the fiscal year 2002 Q1 is scheduled for October 18th at 2 o'clock Pacific Time. Second, I would like to make some brief comments on KLA-Tencor's policy in accordance with the Securities and Exchange Commission Regulation FD. That is the regulation on fair disclosure. I would like to reiterate that it is KLA-Tencor's continued policy that all information requests regarding the company be directed to me or Bren Higgins our Investor Relations Manager. Any media contact should be directed to Kern Beare, our Director of Corporate Communications.

  • All the contact numbers can be found in our press release, and these are the only people authorized to speak on the behalf of KLA-Tencor to the media or to the investment community. We appreciate your continued support of this policy. Finally, a calendar for investor events and conferences for KLA-Tencor is located in the investor relations section of our website. All presentations will be accessible via live web cast from our website. Let me remind you that during the course of this call certain forward-looking statements may be made and actual results may differ materially from those forward-looking statements due to the various risk factors including those described in the company's SEC filings in the press release we issued today. Any update by KLA-Tencor of the information presented in the conference call today will be done so on a systematic basis and will be broadly disseminated. And with that, I will turn it over to Ken.

  • KENNETH L. SCHROEDER

  • Thanks John. Welcome all to today's web cast. Consistent with prior calls, today I will focus on 3 key areas. First, end market demand drivers for our industry at large and KLA-Tencor specifically; second, our market leadership, how that is playing out in terms of specific industry wins; finally, growth drivers in both our existing and emerging segments. So let's start out with the big picture in the current state of our industry. We are currently in the midst of our ace semiconductor downturn. Global economic weakness continues to dampen end user demand for hi-tech products. As we have indicated in prior calls, the duration of this economic slowdown still remains uncertain, and we continue to have limited visibility in regards to a re-acceleration in semiconductor consumption. But we haven't seen any positive signs on the consumption front. We have not seen any signs that lead us to the conclusion that our customers will not continue to make R&D and pilot investments in the technology transitions facing leading edge semiconductor manufacturing today. As a result, we expect relatively flat to down 10% order activity for KLA-Tencor over the June quarter. As many of you know, the September quarter is seasonally down in orders for KLA-Tencor. It is the first quarter of our new year, and it is also a quarter when many of our customers are on vacation. So that's why historically it has been a lighter order quarter for us than the June quarter. We believe that once worldwide economy recovers we will see a re-acceleration of corporate information technology capital investment, as well as improved consumer demand, for a variety of innovative silicon-based products. When this resumption of semiconductor consumption occurs, it will inevitably lead to a broad based recovery for our industry. As for KLA-Tencor specifically, we are seeing virtually no demand for capacity related purchases.

  • This decline is mitigated by the demand for technology-focused purchases for our most advanced process control solutions. These orders are driven by our customers continued investments in next generation technologies. The manufacturing challenges of sub 130 nm design rules, copper interconnect, and 300mm wafers are significant. Customers making these investments say they understand that product life cycles are shortening and time-to-market with leading edge design is critical to achieving a satisfactory level of return on their more than $2 billion investment per fab. Yield learning is integral for this achievement. Consequently, we are seeing an increased focus on yield management and more adoption of our process control solutions. Our ability to provide process control solutions for these technology transitions is the direct result of the product development commitments over the past several years, aimed at widening our technology and leadership gap. A year ago we announced an aggressive product introduction schedule. Since then, we have introduced 19 new products that address those difficult challenges our customers face. We continue to aggressively invest in leading edge technologies. That is why KLA-Tencor is well positioned to take advantage of the market opportunities resulting from the convergence of these technology transitions in a production environment. Let me move on to talk about our leadership in yield management and process control. In wafer inspection, we continue to maintain a 75%-80% market share. During the quarter, we realized several significant accomplishments in this important arena including 2 important advances designed to ramp yields on chips for sub 130 nm design rules. First we introduced the first laser scattering wafer inspection system that combines high speed and sensitivity in a single scan for all die regions. The AIT XP with NexTek technology is ideally suited for accelerating yield rates for chipmakers producing multiple types of advanced devices incorporating the 100 nm and below design rules.

  • The advanced capabilities of this tool will allow our customers to achieve maximum sensitivity on all die regions for new and emerging device types without being forced to conduct multiple passes in masking steps. This results in lower cost of ownership as evidenced by as much as 75% throughput increase versus current systems. With a recent memory customer, we engaged in a beta test of the AIT XP. Beta tests usually takes several months. This particular customer was able to identify some critical 150 nm unique critical contact edge in oxide void defect types. Because of this, he put this product into production after only 2 weeks into the beta phase, which is usually unheard of. We introduced the industry's first 300mm unpatterned inspection tool to provide brightfield, darkfield, and nanotopography defect information in a single scan. The Surfscan SP1 DLS with its high sensitivity and high throughput rates with real-time defect classification makes it one of the industry's lowest cost of ownership tools. And for our 2350 UV, which is our last generation optical image processing inspection tool, we won 6 out of the 6 last head-to-heads. Out of 2 of these head-to-heads, we received multiple orders for the 2350. The customer has been able to detect killer defects that were previously undetectable with other optical technologies. TI for example has recently published the results from a head-to-head comparison that they conducted. This is a 2350 versus the competitor's tool, and the 2350 was the only tool that was able to find defects that really mattered, and what TI was able to was to find a 2x yield loss prediction compared to the competitor's tool.

  • As a result, TI has implemented a 2350 for trend shifts in copper CMP inspections for this particular problem. This product continues to see strong interest from our customers and is slotted out in manufacturing through the calendar year. The eS20XP E-Beam Inspection System continues to maintain greater than 90% market share. This product continues to see order activity this quarter and is slotted out in manufacturing until the end of the calendar year. A major foundry recently reported success in using our eS20XP for their yield ramp in a 180 nm copper process. eS20 was critical in finding metal stringers. Altis Semiconductor, which is a joint venture by IBM and Infineon, has utilized the eS20 to accelerate yields on a 0.18 copper process. They reduce the time to results for finding these yield problems from weeks to hours, according to Didier Lamouche who is the CEO of Altis. Moving over to metrology where we have approximately 40% market share, we recorded noteworthy achievements here as well. In regards to thin-film measurement, we continue to build on our substantial momentum. We now account for well over half of the growing 300mm nonmetal thin film measurement market due to the F5x' unique ability to measure complex film stacks below 180 nm design rules, over 4 times faster than competitive systems. As the industry continues to move to 300mm, we continue to realize market share gains in excess of those achieved in 200mm. Quantox, which is our noncontact advanced gate monitoring system, continues to maintain over 90% of the electrical gate oxide process control market. As design rules continue to shrink, gate process control is shifting from optical to electrical metrology as gate oxide films get thinner.

  • I think the key point here is that there is a leading logic manufacturer who has over 21 units installed to date including 2 300mm units. We believe that over time other manufacturers will have the possibility of achieving this manufacturer's adoption. [_______________] overlay measurement tool introduced in the first quarter this year, recently displaced a competitive tool in a key R&D facility in Japan. This penetration gave KLA-Tencor the opportunity to demonstrate the precision and throughput capabilities of this tool and led to winning the overlay business at a major 300mm memory fab this quarter. Finally, in metrology, this quarter we introduced our new SpectraCD, optical, critical, and measuring tool, and Gary will be talking more about that later. Finally, reticle inspection, where we maintain 80% market share, continues to be the current cyclical force for KLA-Tencor to the semiconductor cycle and experienced another strong quarter of order activity. Our TeraStar system continues to record important wins, and it's slotted in order manufacturing through the calendar year. A major foundry recently ordered 5 TeraStar systems due to its substantial productivity in cost of ownership benefits. This fab had a major problem with high faults and nuisance defect counts on advanced production with optical proximity correction for OPC and assist features, feature reticles. The problem required engineers to spend a significant amount of time manually adjusting settings on their existing inspection systems to desensitize the tool, thus compromising their ability to identify killer defects in some areas. With the TeraStar, faults and nuisance defects were reduced by a third and inspection rates were doubled while maintaining production worthy sensitivity level to identify and characterize quickly the real defects that matter. Finally, let's talk about growth at KLA-Tencor.

  • A key portion of our comprehensive strategy to increase our process control yield management leadership is our advanced software solutions that connect our tools. Our innovative e-diagnostic technology, the core of our successful iSupport program, took an important step forward in potentially becoming an industry standard. This quarter Brooks Automation purchased our e-diagnostics infrastructure to be used in the deployment of its own e-diagnostics program. As a major supplier to the majority of semiconductor equipment manufacturers, this purchase will position Brooks to be able to provide an e-diagnostic standard for the industry. At KLA-Tencor, we are continuing to push forward the concept in our connecting our tools. In addition to reducing our cost of providing support, this capability enables higher customer productivity by increased tool utilization and further reduces the inspection metrology cost of ownership by having factory experts available when our customers need them. We talk about two growth areas. Well, really, I'll have Gary talk about, Gary will be talking about the SpectraCD and let me say a few words about integrated. We're continuing to extend our leadership through the introduction of new types of products. Precice, the industry's first production-worthy in-situ film thickness and end-point control system for copper CMP, introduced last March, is an appropriate example of this. Our view has always been that integrated has an important role in process control. But it's not the silver bullet, as some claimed. This explains recent dramatic reductions of the estimated size and growth of the integrated markets. Clearly, there are several reasons to pursue integrated solutions, reduce measurement time, fewer excursions that have placed wafers at risk, and a smaller footprint. Yet, there are also important obstacles. The importance of sensitivity, throughput, and low cost of ownership remain our customers demand, high performance at an acceptable cost. There is also the added dimension of reliability. By adding complexity to our process tool, there is an increased chance of down time.

  • Finally, the market for integrated is in 300mm fabs, where our customers already have their hands full with technology integration issues. For KLA-Tencor, these challenges play to our strengths. We are the technology and market leader, we are industry neutral, mostly strong, global supported infrastructure, and as a result, already enjoy strong relationship with the leading OEMs. So here is how we view the integrated market. We see it in terms of measurement difficulty and variability. Process control and CMP film thickness is an example of where integrated works. Despite high variability, it involves fairly simple measurements. CVD with its difficult measurements is an example where the use of go, no-go integrated tools is limited. CMP end point, CD, overlay, thin film, and macro defect, all lie between these two in difficulty, making them candidates for integrated solutions. For us, CMP endpoint was a logical first step. Precice represents the industry's first production-worthy in-situ film thickness and endpoint control solution. For our customers, this has meant higher productivity and yields. One customer is achieving a 20% gain in throughput by using a Precice with an Ebara CMP tool, and a 20% improved [_______________]. In our view, lithography is the next opportunity for integrated. It is our customer's most expensive and critical process. Similar to our agreement with Ebara involving Precice, we recently announced an agreement with FSI International to develop integrated metrology capabilities for their POLARIS 3500 microlithography cluster platform. These capabilities will include monitoring CD and coding thickness uniformity. CD control is of course essential for lithography process. We offer the most comprehensive CD solution. Our SpectraCD combines the CD measurement with a low cost of ownership. Later this fiscal year, we will be able introducing an integrated module CD Spectra technology, and we are already in discussion with several leading OEMs. At this point, I would like to turn the call to Gary Dickerson, our Chief Operating Officer. Gary?

  • GARY E. DICKERSON

  • Thank you Ken. As Ken mentioned, I will focus my remarks on our CD controlled solutions. Our customers are accelerating design rule shrinks to drive higher speed devices and smaller die size. With shrinking design rules, customers are seeing much smaller process windows and a decreasing tolerance for process drift. At the same time, the use of new materials and advanced lithography, such as low-k die electrics and photoresist for 193 nm lithography, make it even more difficult to control the manufacturing process. CD control is becoming a big issue with our customers, and I will tell you why KLA-Tencor is now positioned to become the leader in the high growth CD control market segment. Today, we offer the industry's most comprehensive CD control solution. Our solutions include our 8450 CD SEM and our new optical CD solution the SpectraCD. Before I get to the compelling value proposition these tools represent together, I want to spend a few moments speaking about the giant step forward SpectraCD represents for our customers.

  • SpectraCD delivers the precision, throughput, and the sensitivity level needed for sub 100 nm device production and 193 nm deep-UV lithography. This tool provides simultaneous, extensive, and nondestructive critical dimension feature shape and film thickness data in real-time. At Semicon West, Motorola presented data comparing the SpectraCD with the CD SEM and SEM cross-sections. The final resulted indicated excellent correlation between SEM cross-sections and SpectraCD measurements for CD in height. Also, they indicated that there was a good correlation with sidewall angle. Other comparisons have shown an improved precision of more that 1 nm with the SpectraCD versus the CD SEM. SpectraCD is a crucial component of our answer to the question of how to accelerate yield and fabs building devices that require advanced lithography processes. SpectraCD is more than twice as sensitive as single, in comparison to single wavelength angle-resolved scatterometry tools. It delivers sub-nanometer three-sigma precision at speeds of more than 6300 mm wafers per hour. It represents the first production-worthy implementation of spectroscopic scatterometry technology ideal for controlling critical dimensions on certain layers such as poly gate. The SpectraCD product is built on top of KLA-Tencor's film thickness measurement tools. These tools are used by 18 of the top 20 IC manufacturers, and we've shipped over 40 of these tools to 300mm fabs with great matching results. We have over 500 UV-SE systems in production, which is 10 times that of our nearest competitor.

  • All of this means that the SpectraCD can help our customers lower their production costs and improve their process capability resulting in higher speed devices. By combining SpectraCD with our series 8200 and 8400 CD SEM tools, through common software and analysis capabilities, we can provide the critical information our customers need to complete their CD process control solution. No other company in the industry can offer both SEM and optical base solutions that match and are tied together with common software, recipes, and analysis capability. The value proposition this comprehensive CD control solution represents is significant. A conservative estimate associates an additional $12 million in return for every nanometer gain in critical dimension control. This is because as devices move into higher speed bands, the average selling price increases, resulting in a large return on investment for our customers. In addition, when the customers utilize our complete optical and SEM CD solution, they can realize up to a 34% reduction in cost of ownership, compared with using only CD SEMs. What is the benefit to KLA-Tencor? Potentially 4 to 6 more systems sold per fab and larger increase in the total dollars we receive from this market. We believe that our CD solution will position KLA-Tencor to be the leader in CD control market. Our solution leverages are most advanced products. From our 8450 CD SEM and SpectraCD measurement tools to software modules like Klarity ProDATA, PROLITH, the Catalyst APC software, Klarity ACE software, along with iRecipe management software, in a total package that's unmatched in the industry today. On that note, I will turn it back to John for the financials.

  • JOHN H. KISPERT

  • Thanks Gary. Okay, as I mentioned at the beginning of the call, we are reporting our results as required by the Securities and Exchange Commission Staff Accounting Bulletin 101 better known simply as SAB 101. At KLA-Tencor, we have decided to apply this standard in the same conservative fashion that we use all of our other accounting standards. We have worked internally over the past several quarters to implement systems to track SAB 101. SAB 101 sets forth guidelines on the timing of revenue recognition based on factors such as the passage of time, installation obligations and payment, and customer acceptance. Going forward, for any comparison purposes, I will refer to the results under the company's and industry's previous accounting method as shipment based results. One point I want to emphasize before I begin is that SAB 101 is a change in the accounting and only impacts the timing of revenue recognition. It does not impact when the company accepts orders from customers, when it ship products, or when we receive payment. Furthermore, it does not impact the company's financial flexibility, competitive position, or its ability to identify and appropriately fund potential growth opportunities. For more detailed information on SAB 101 and what it means for KLA-Tencor, we encourage you to visit our website at www.klatencor.com. On the investors page, you will find frequently asked questions devoted exclusively to SAB 101, which I urge all of you to read. Given our guidance for this past June quarter was given out on our historical accounting basis, I will discuss this first. I will start with bookings, which of course is not impacted by SAB 101. For the June quarter, the incoming booking levels were within 4% of our March or Q3 bookings. The order environment in terms of composition and percentage of business changed little from last quarter. The dollar amount of cancellations and push outs were 7% of the backlog we carried into the quarter.

  • Technology buys made up over 90% of the orders, as capacity sales remained very weak. And our book-to-bill for the quarter was less than 1. At current shipment rates, we have just under 6 months of shipment backlog. These are the booked orders that have not been shipped yet. The regional distribution of orders for the June quarter was as follows. The U.S. was 30%, added historical average. Japan was 25%, in line with its historical average. Europe was 19%, above its historical average of roughly 10% to 15%. Taiwan was 20%, in line with its historical average. And Korea, Singapore, Malaysia, and China account for the remaining 6% of orders, below their historical average. From a prior client perspective, the distribution of orders is as follows. Wafer inspection accounted for about 30% of the orders in dollar terms. Metrology comprised about 20% of orders. Reticle inspection accounted for about 25% of orders. Service represented roughly 15% of our orders, versus 6% a year ago. Process control applications software accounted for 5% of the orders, and sales of our products into data storage made up the remaining 5% of our incoming orders. As for the income statement, first, again, the historical shipment based revenue was 462 million, a sequential quarter-on-quarter decline of 13% and a year-on-year decline of 4%. Gross margins were at 50%, down 4 points from the prior quarter as we continue to focus on the productivity and efficiency of our manufacturing service organizations, as we ramp our new products in the face of lower overall volumes due to the downturn. Operating expenses were approximately 172 million. While cost-cutting measures were implemented over the past 6 months, the lower run-rate will be seen in the coming quarters. We continue to maintain our commitment to research and development and to continuously increase the support of our customers.

  • At KLA-Tencor, we look at downturns as an opportunity to strengthen our leadership position, and as Ken mentioned, we have introduced 19 new products over the last year. This scale of introduction would not have been possible without a commitment to R&D, which we have made in all previous downturns, and we will continue to make in this downturn. Other income was 13.6 million, a decline of 1 million from the prior quarter, and this was driven by lower interest rates. Net income was 56 million or ¢29 per diluted share. Net cash flow for the quarter was a positive 211 million. As Gary mentioned in the last conference call, we are pursuing a number of operational initiatives focused on improving margins and adding operational flexibility. Continuous focus on cycle time reduction, inventory and supply chain management, outsourcing of non-core functions, and consolidation of our strategic suppliers, all in an effort to improve margins, reduce overhead, and focus resources in key KLA-Tencor value added areas. In addition to adding more value to our customers, these initiatives will also provide us with the operational flexibility needed to respond quickly to changes in customer demand. We have made significant progress in these areas over the past several months, and it's showing in our cash flow, and we will continue to maintain these efforts throughout this difficult period. Cash and cash equivalents ended the quarter at 1.15 billion. Accounts receivable declined to 136 million or 25%, and days sales outstanding are at 79 days on shipments. Inventory was 394 million, a reduction of 22 million quarter-on-quarter, as the reduction in production inventory was offset by increased service inventory levels. Capital expenditures in the quarter were 39 million as we completed construction of our Livermore campuses. Depreciation for the quarter was 12 million and headcount ended the June quarter at 6,403 employees. Now let me spend a few minutes on performance for the quarter using the transition to SAB 101.

  • I urge you to read the Q&A on SAB 101 on our website for more information on the transition. In future quarters, we will detail the results only in accordance with the guidelines of SAB 101. We will, however, continue to provide historical shipment revenue for the quarter to help with modeling KLA-Tencor business, going forward. Net sales for the June quarter, under SAB 101, were 603 million. This is higher because we are recognizing revenue on products shipped in prior quarters when shipment rates were higher and which we were accepted in the current quarter. SAB 101 gross margins were 55%. SAB 101 net income was 130 million. Earnings per diluted share, under SAB 101, was ¢67. So SAB 101 also results in the following changes to our balance sheet. 422 million of deferred profit has been added to the account for the difference between the deferred revenue and the cost of goods sold associated with products that have been shipped but have not been accepted. When a customer formally accepts the products, these profits are recognized in the revenue as moved out of deferred revenue. The corresponding deferred revenue is 655 million. The impact of SAB 101 on prior period income statements is in the press release and on our website. In summary, for the fiscal year, SAB 101 revenue was 2.1 billion versus 2.1 billion under the shipment-based method. SAB 101 gross profit margin for the year was 55.5% versus 54.9% under the shipment-based method. SAB 101 net income was 373 million versus 363 million under the shipment-based method. And SAB 101 earnings per diluted share, before the accumulative adjustment, was $1.93 versus $1.87 per share under the shipment-based method.

  • All these represent fiscal year records for the company. Note that there is a very little difference between the two over the last 4 quarters, even though there are dramatic differences in the individual quarters. This is because KLA-Tencor experienced both the upturn and the downturn during the fiscal year 2001. And SAB revenues lag on the way up and they lag on the way down. Looking forward, we remain cautious. Our revenue levels will be determined by our backlog position, which remains strong. The orders we receive in September and December quarters and the impact of deferred revenue recognition under the guidelines of SAB 101, which should cause about a 60-120 day lag in revenue recognition versus our previous accounting methods in future quarters. As for the order outlook, we feel fairly confident that our net orders in the September quarter will be similar to the last two quarters, the March and June quarters. Historically, the September quarter is the weakest quarter of the year. 8 of the last 10 years it has been down sequentially 10% or more. Despite this, we believe that the predominant trend in incoming orders will be horizontal for a while, with a potential downward risk of about 10%. As you know, KLA-Tencor's business is being driven by our customers' continued investments in next generation technologies. Unlike capacity purchases, which can swing dramatically in one direction or another, these technology buys remain fairly steady. As a result, our order levels should hold a steady course. Given that, our guidance for the September quarter is revenue under SAB 101 accounting is targeted between 470 and 490 million, EPS under SAB accounting is targeted between ¢43 and ¢46, and shipments for the September quarter are targeted within the range of 375 to 400 million. This concludes our remarks on the quarter. We will now open the call for questions. Operator will you begin the polling please.

  • Operator

  • Yes sir. Thank you. Ladies and gentlemen if you wish to register a question for today's question and answer session, you will need to press the '1' followed by the '4' on your telephone. You will hear a 3-toned prompt to acknowledge your request. If your question has been answered and you wish to withdraw your polling request, you may do so by pressing the '1' followed by the '3'. If you are on a speakerphone, please pick up your handset before entering your request. Our first question comes from Jay Deahna with Morgan Stanley. Please go ahead.

  • JAY DEAHNA

  • Thanks. Good afternoon, and thanks for that comprehensive intro. John, you said that the book-to-bill was below 1 for the quarter. Was the book-to-bill higher than it was in the previous quarter? And then the second question is on the shipment guidance for the September quarter, 375-400 million. Would that equate to something kind of like ¢10 or higher from a shipment-based earnings perspective?

  • JOHN H. KISPERT

  • Just two questions Jay. The first one is that the book-to-bill actually was higher, quarter-on-quarter, not by a great deal, but it was higher. And the second question, I won't give this out anymore it's because we're not going to track it, but just help with the transition which is going to be difficult for everybody, as far as modeling is concerned. Our view is that with that shipment revenue our EPS would be anywhere from ¢17 to ¢20.

  • JAY DEAHNA

  • Right. Thanks a lot John.

  • JOHN H. KISPERT

  • Yes.

  • Operator

  • Edward White with Lehman Brothers please go ahead.

  • EDWARD C. WHITE, JR.: Yeah, two questions. First of all, can you characterize a little bit of the nature of the technology buys that you're seeing, given that they're coming in relatively well, compared to capacity buys? Can you characterize sort of what types of work that involves and how that's driving the need for your products? And then secondly, can you talk a little bit about how you account for the costs and expenses under SAB 101? In other words you have deferred revenues, but can you talk about, it seems from the statements as though the gross margins differed, but what about the operating expenses?

  • JOHN H. KISPERT

  • I'll just take the second part first, and then we'll talk about the technology buys. Gross margins, you can think of it as, although the revenue gets deferred until the customer finally signs off on it and accepts it, it's just like it always was. We tie the material cost and the labor cost directly to that revenue. And so it will get carried forward if you will, and that's what's in that offsetting and that deferred profit line on the balance sheet. What doesn't get pulled forward with it is period expenses, things like reserves, scrap, rework, write-offs, in the actual quarters. I hope that helps you.

  • EDWARD C. WHITE, JR.: Yes, okay.

  • KENNETH L. SCHROEDER

  • With respect to the technology buys, I guess I characterize them this way. As we said, our reticle inspection business is still very strong, and that is tied into the sub 130 nm technology node. There's no question there still, even though our mass makers have experienced some slowdown, there is still a lot of interest to us for advanced mass making inspection capability and hence the strength of the rapid business. The other place certainly has been in the wafer inspection where people are not only pursuing the sub 130 nm node, but also pursuing copper. In between those 2 technologies, as I said, there's lot of interest in the AIT XP, 2350, our eS20XP, e-beam inspection tools, and all those are really being driven by the need to find the defect limiting issues in both sub 130 and in copper.

  • EDWARD C. WHITE, JR.: In the sub 130, how much development work are you beginning to see at the 100 nm node, and how challenging are the issues of inspection in metrology at that level?

  • KENNETH L. SCHROEDER

  • Well, you've got companies that are beginning to make the transition to 130 mm production. Okay? And they are introducing those into production. Particularly the leading memory guys and the leading microprocessor guys are putting 130 into production. Of course, these are facilities are heavily engaged in 100 nm node and getting ready to roll that out in a year or two. The issues with 130 are very difficult, and people are experiencing real challenges with yields at that node, and if we're benefiting by those kinds of challenges at KLA-Tencor.

  • EDWARD C. WHITE, JR.: Okay, great. Thank you.

  • GARY E. DICKERSON

  • Let me finish up on it. As I thought about your question, I'll make sure there's another component that doesn't go into the deferred profit line and that's service gross margin; service does not get impacted by SAB 101. As you probably know, service is not nearly as profitable as the rest of our business at KLA-Tencor. So that's why if you look at the gross margin on the deferred revenues, it's going to look higher than your normal gross margin.

  • JOHN H. KISPERT

  • Yeah, it's those two. It's the service piece and the fact that there are some what I'd call period expenses there, that are going to fall right in the period like scrap, rework. Okay?

  • EDWARD C. WHITE, JR.: Okay, thank you.

  • Operator

  • Glen Yeung with Salomon Smith Barney please go ahead.

  • GLEN YEUNG

  • Thanks. Hi John, and also thank you for your words on SAB 101, very helpful. You'd mentioned cancellations at about 7% of backlog. Can you characterize what that was like relative to expectations? And then can you also talk what is the character of cancellations in sales? Were they for older technologies? Did you see companies come in perhaps and swamp out some older technologies in favor of new orders for new technologies?

  • JOHN H. KISPERT

  • Glen it was about exactly what we thought it was going to be. What we do at the beginning of every quarter is cyclisize, especially in environments like this, is what is going to get cancelled or could be cancelled or what is highly likely to get cancelled. And in many cases, we force that issue ourselves and force push-outs, as we call them, and take the cancellations. So I think the raw number was just about what we expected, coming into the quarter.

  • GLEN YEUNG

  • And what's your sense on where we re in terms of cancellations now? Is it slowing?

  • JOHN H. KISPERT

  • Yeah, it definitely has slowed, going forward. We think we've, for lack of a better word, purged our backlog. We're real confident with what we have going into the quarter. Can we be surprised? I'm sure we can be surprised, but at this point, we feel pretty good about what we got on the books.

  • GLEN YEUNG

  • Okay, and then the last part is you gave us some color on the geographic breakdown of bookings in the reported quarter. Do you any sense as to what the trends could be in the next quarter in terms of geographic bookings?

  • JOHN H. KISPERT

  • Europe was higher this quarter, and actually both Europe and Japan dollars were higher from the prior quarter. I would expect those two as a percentage of the total to be a little bit smaller in the coming quarters, probably not much smaller, but a little bit smaller. And everything else is about exactly where it looked to us in the June quarter. The one exception might be Korea where it tends to be very lumpy as far as from quarter-to-quarter, and it was a weak quarter this last quarter, and I expect a little bit more activity there this quarter.

  • GLEN YEUNG

  • Okay. Great. And good job in the current environment.

  • JOHN H. KISPERT

  • Thanks Glen.

  • Operator

  • John Pitzer with Credit Suisse First Boston please go ahead.

  • JOHN W. PITZER

  • Good afternoon guys. John, just a quick followup; on the bookings guidance for the September quarter, the flat part of that guidance is in line with what was said at semiconductor was the downturn is a little bit more cautious. I am kind of curious if that's just a more cautious view that you want to project to the street or if you've seen some change in business over the last couple of weeks which is driving that guidance. And then secondly, if you could talk about the average selling prices in your order book quarter-on-quarter, and what the trends are there, especially as you start to book a lot more of the newer technologies? And then lastly, a question for Ken; Ken, curious when you look at the roadmap for your customers' 300 mm volume production plans; do you see that crossover point at the 0.13-micron node or the 0.1-micron node? Thanks guys.

  • KENNETH L. SCHROEDER

  • Sure. I'm going to take the first and the third parts of that question. I think the downturn is really more of a kind of a realization that in our September quarter, it's just a slower starting quarter than our other quarters, mainly because with the June quarter at the end of our fiscal year, we tend to wrap up a lot of things that we're wrapping up because of our bonus programs. The September starting a little, this is the first quarter of our year. The second thing is just lot more people on vacation, harder to get things done, harder to get orders pushed through in the September quarter. Generally, it's kind of flat, March, June, September, but I think the 10% is kind of a realization that there is some administrative issues in just kind of getting the orders; not anything different in terms of the order outlook per se. In terms of the crossover to 300mm, what do I think? I think that a lot of, obviously, a lot of the 200mm fabs are going to have to, they're going to make the transition to 130 nm. I think that all the 300mm guys will be starting at 130 nm. I don't think they'll jump in at 100 nm. They will prove 300mm out at, some at 0.15 and most at 0.13 microns, before they go to 100 nm, just because of the risk reduction.

  • JOHN H. KISPERT

  • John, what was the second question?

  • JOHN W. PITZER

  • Just kind of curious when we look at the order book John. What are the trends on average selling prices? Because as you start to see the predominance of the orders go to some of the newer technologies, clearly, those pieces of equipment have much higher ASPs; kind of curious if you could give us some magnitude on that?

  • JOHN H. KISPERT

  • Yeah, I see, I see. Yeah, clearly moving with all these new products, their prices are going to be higher. So one of the things that I look at organization by organization is just revenue per unit, revenue per box, dollar per box. And over the last 3 quarters, it's gone up about 25%. That's because it should go up higher per unit over time as some of the older stuff, kind of, we start shipping less of the older stuff and more and more of the newer stuff. What's driving that? It's going to be reticle inspection certainly or e-beam inspection, and the high-end wafer inspection, all are higher dollars per unit. And customers need these things. So the value's there, and that's what changing the dollars per unit as it rolls over the last 3 quarters. And I expect it to go up in the next couple of quarters too.

  • JOHN W. PITZER

  • Great, thanks guys.

  • JOHN H. KISPERT

  • Yep.

  • Operator

  • Robert Maire with Bear, Stearns please go ahead.

  • ROBERT MAIRE

  • Yeah, a similar question on 300mm versus 200mm. Have you heard anything from customers suggesting that they may be perhaps revamping their 200mm spending plans or in lieu of doing a 300mm? What I mean by that is, let's say, if I plan to do low-k copper on 300 now, I'm not doing 300, I'm going to do it on 200. Has that been a substantial change or is that just a minor tuning that you're seeing?

  • JOHN H. KISPERT

  • Yeah, I guess on 200 versus 300, a lot of the cancellations actually were more focused in 200mm initially. I think one of the things that we track overall is that all of the fabs where we have a greater than $25 million opportunity as a company, and you look fiscal year '01 to fiscal year '02, in fiscal year '01, the 300mm fabs made up about one-third of the total of those new fabs. In FY '02, it looks like about 80% of the total is 300mm. So again, the companies that are building new fabs are building 300mm fabs and not that much on 200mm.

  • KENNETH L. SCHROEDER

  • Hey Robert, we're tracking anywhere from 25 to 30 300mm fabs for next year now. Not all of those are going to happen, but that's what we're tracking. And it's going to pick up when the supply-demand expectations fix themselves. And I think we'll have a clearer view of it, but right now, it's a whole lot of talk.

  • ROBERT MAIRE

  • And one other question, somewhat related to spending on fabs. There has been some discussion in the industry and on the street about some spending plans being more front-end loaded in 2001 and less spending on a relative basis in the second half of 2001, and that might be revised downwards. Have your heard any near-term changes in direction that would indicate that or would you think we're pretty much there, where we're expected to be?

  • JOHN H. KISPERT

  • Robert, we hear things everyday about revisions, down and upward. So we're all smiling here. We don't anticipate it with our business, and that's just working at a much lower level in the organizations. But do we hear about it everyday? Yes.

  • ROBERT MAIRE

  • Okay, thanks.

  • Operator

  • Shekhar Pramanick with Prudential Securities. Please go ahead.

  • SHEKHAR PRAMANICK

  • Good afternoon. Congratulations for a good quarter. This quarter TeraStar has been truly the star, and one question I had on the reticle inspection side is what is the mix of your customers on that, whether iDMs are buying reticle inspections tools versus the mass manufacturers? And then I have another one.

  • JOHN H. KISPERT

  • Shekhar, what we generally see when we introduce new reticle inspection systems is, in the first, mass makers are the first in the queue and then second tier mass makers are the seconds in the queue, and third in the queue is generally the fab folks. And that's what we're seeing in this last quarter, and we think we're going to see it in the September quarter also.

  • SHEKHAR PRAMANICK

  • Okay. So even if the mass manufacturers are somewhat feeling the pain, you think the orders are going to be there from the wafer fabs to keep this going for a while here?

  • JOHN H. KISPERT

  • Yeah. Again on the TeraStar, as Ken mentioned earlier, really essential technology for 130 nm and below, and no question of real strong demand from the iDMs. When I was over in Taiwan, one of the major foundries said that the TeraStar was key to their strategy in turning tape outs very quickly. Also, real strong demand from all of the big iDM mass shops. Actually, I'd say on the TeraStar introduction so far, iDMs have been probably the biggest part of the demand, and then we're going to be introducing, as we have talked about before, the database capability on top of the TeraStar die-to-die, this quarter, and that also will be very, very strong demand, probably, initially from the iDMs.

  • SHEKHAR PRAMANICK

  • Okay, great. And one more question. One of the reasons my sense is that you folks have outperformed your peers surrounds the number of inspection metrology steps have gone up quite significantly in the process flow. Are you tracking that somehow? Well, you can enlighten us a little bit on that.

  • JOHN H. KISPERT

  • Yeah, we look at that from generation to generation. There is no question. Ken talked about a lot of challenges our customers face. As they are making these technology transitions to smaller design rules to totally new materials in the backend, there is no question that the number of measurements that our customers are making and the difficulty from a defect production standpoint is driving purchases of our products.

  • SHEKHAR PRAMANICK

  • Okay, so you haven't quantified something you can share with us?

  • GARY E. DICKERSON

  • Shekhar, I'll share with you when I can.

  • SHEKHAR PRAMANICK

  • Thank you.

  • Operator

  • Brett Hodess from Merrill Lynch please go ahead.

  • BRETT A. HODESS

  • Given the number of new products that you have introduced and the ASP trends you commented on earlier, can you give us an idea of what percentage of your sales now are represented by the products that you have introduced in the past year so we can get some kind of feel for how much further the ASP growth might go?

  • JOHN H. KISPERT

  • Yeah. Brett, I don't have that with me, and I don't even want to guess. So I don't have it with me.

  • BRETT A. HODESS

  • Okay, thanks. We'll follow up with you later.

  • JOHN H. KISPERT

  • Alright Brett.

  • Operator

  • Mark Fitzgerald from Banc of America Securities.

  • MARK FITZGERALD

  • John, I'm not sure if you've given the backlog number, but since it's your fiscal year end, I think it's going to be in the financials. Can you give that out?

  • JOHN H. KISPERT

  • I'd rather not, Mark.

  • MARK FITZGERALD

  • Aren't you going to report it in your 10-Q?

  • JOHN H. KISPERT

  • Yeah, we pushed a bunch of stuff out. It's about 750 million. It'll be in our 10-K.

  • MARK FITZGERALD

  • And when you talk about the shipments over the next couple of quarters matching the backlog, are we talking SAB 101 shipments or the actual historical shipment data?

  • JOHN H. KISPERT

  • Historical shipment.

  • MARK FITZGERALD

  • Okay, and can you tell us in the backlog at this point, is the percentage service mix similar to the percentage that you reported in terms of the bookings?

  • JOHN H. KISPERT

  • I'm not sure I understand the question. The question is...

  • MARK FITZGERALD

  • The 20% that you gave for service, is that similar for what we have in the backlog for service?

  • JOHN H. KISPERT

  • No, the service turns every quarter. You won't have service in the backlog.

  • MARK FITZGERALD

  • So you don't carry any backlog, that's all systems.

  • JOHN H. KISPERT

  • The number I gave you, when I say 6 months of backlog, we're talking boxes...

  • MARK FITZGERALD

  • Okay.

  • JOHN H. KISPERT

  • ...systems.

  • MARK FITZGERALD

  • Alright, thank you.

  • JOHN H. KISPERT

  • Thanks Mark.

  • Operator

  • Mike O'Brien with SoundView Technology please go ahead.

  • JOHN H. KISPERT

  • Mike, you there?

  • MICHAEL O'BRIEN

  • Yeah, sorry about that. Question again on the reticle business, kind of first question. What's the trend you see over the next couple of quarters? When do you think you could see that begin to kind of reach a peak and start to decline?

  • KENNETH L. SCHROEDER

  • Michael, I think what has certainly held our reticle business up has been first of all the strength of the mass makers, and then of course, they have reported some weakness in the last few months, and then of course, our own introduction of new products, which they have to have, our TeraStar, which has been a very successful product. And then we would hope to be able to introduce another product in the second half of this year. That's probably all we'll get out of the reticle inspection, and the peak is, we set record orders, was it in June I think, for reticle inspection. We are anticipating good orders this quarter in September. I think the December quarter is not as positive for inspection looking forward to December. I think what we do see however is that we have at least one major product introduction that we intend to make in the December quarter, outside of the reticle inspection arena, that we think we will provide some significant growth for the company. Our strategy of course is to try and introduce these killer application products that the customers have to have. Luckily, with reticle inspection products this year, as I say, by the end of the December quarter, we anticipate introducing another one, maybe earlier than December, that we think will be a significant product and keep our new technology buying momentum moving ahead.

  • MICHAEL 0'BRIEN: Great, and then maybe just a little bit of color, even though it's small, on the data storage business and what kind of aided that in the quarter?

  • KENNETH L. SCHROEDER

  • As you know, we've purchased Phase Metrics 3 or 4 months ago, something like that...

  • MICHAEL O'BRIEN

  • In the quarter.

  • KENNETH L. SCHROEDER

  • And so far, we've been pleased. There have been no surprises. We knew that this is a business that's going to take some work, and so far, so good. We're counting on really two things, one or two things happening, one the data storage business itself to turnaround, and of course, that's not going to be a short-term turnaround, but I doubt that there'll be a particular family. I think the desktop or the set-top box will be a strong driver over the next few years for that particular business. So we're still counting on the data storage business turning around not this year but in the next few years. And then the second thing is we bought it because there are some new applications and new products, and we have been pleasantly pleased that at least one of those has kind of pulled up. And so we're pretty much on track in terms of bookings for that and look forward to that contributing an ever greater part of our business as we go forward over the next couple of years.

  • MICHAEL O'BRIEN

  • Great. Thank you.

  • Operator

  • Byron Walker with UBS Warburg please go ahead.

  • BYRON N. WALKER

  • Good afternoon. Can you give us some insight into what some of the major products had book-to-bills over 1 during the quarter?

  • JOHN H. KISPERT

  • Byron, I don't have that. Book-to-bills over 1 would certainly be in the reticle inspection area. Just off the top of my head, I would imagine eS20XP is real close to 1 if not over 1, and probably are the highest end optical wafer inspection.

  • BYRON N. WALKER

  • Alright. And the other is, can you give us a rough estimate of what the bottom line contribution of the reticle inspection business is?

  • JOHN H. KISPERT

  • No, we can't Byron. You thought I fell asleep here?

  • BYRON N. WALKER

  • You wouldn't really strike me if I didn't ask.

  • JOHN H. KISPERT

  • That wasn't even a good try Byron.

  • BYRON N. WALKER

  • Okay, gentlemen. Thank you.

  • JOHN H. KISPERT

  • Thank you.

  • Operator

  • Vadim Zlotnikov with Sanford Bernstein please go ahead.

  • MARK FISCHER

  • Hi, it's actually Mark Fischer calling in for Vadim. Could you comment, it looks like the gross margin decline for this quarter, compared to previous downturns, was pretty sharp even with the sharper revenue decline. Could you comment on the breakdown? What's coming from product mix shift to the copper 300mm on gross margins versus competition versus fixed cost of absorption? And also if you could comment a little bit on your deferred revenue breakdown, what's in it? What's the breakdown of new technology versus old technology, and what do you expect the acceptance rates of those products to be? Thanks.

  • JOHN H. KISPERT

  • Yeah, on the gross margin Mark, it's two factors. The first one is certainly mix. As lot of folks out there know that follow KLA-Tencor closely, our service business isn't as profitable as the rest of the business, and when it goes from 6% of your business to 16% of your business, it shows up. The second big driver very clearly there is absorption. We're not pulling apart the organizations as revenue comes down, and it's going to show up. The good news is that the newer products are higher gross margin. Their cycle times are a little bit too long for us right now for us to get impact from them, but as we cut the cycle times and improve the learning there as far as manufacturing and installation is concerned and service, we'll see some improvement, and that's what we're looking for over the next couple of quarters.

  • MARK FISCHER

  • So, all 300mm, copper, 0.13, they all carry a higher gross margin than traditional products?

  • JOHN H. KISPERT

  • On an average across the products, yes.

  • MARK FISCHER

  • Okay.

  • JOHN H. KISPERT

  • Your second question I think was in your deferred line how much of that is the newer technologies and how much of that is older technologies.

  • MARK FISCHER

  • Yeah, I mean as we're modeling SAB 101 revenue going forward, when we expect the deferred revenue to turn into the actual revenue.

  • JOHN H. KISPERT

  • It's about 41/2 months today if you use our current rate of acceptances. The goal that we've set across the company is to get it down to 3 months now. We don't have any experience at this. I mean, I don't know if 3 months is right. I don't know how long it is it going to take us to get to 3 months. But that's the attempt we're going to have. The flavor of that is the newer stuff because it's stuff that we shipped in the last 41/2-5 months. So it's the same blend that you would have seen in our shipment P&L 2 quarters ago.

  • MARK FISCHER

  • Okay, and one quick followup to that. When do you expect the revenue to crossover the shipments? When will that increase in the ramp in both gains and shipments have an effect to raise it above the revenue?

  • JOHN H. KISPERT

  • Yeah, Mark everybody has been asking me. We ran it through our Craig computer, and we can't come up with anything. It's a tough one. It ties back to what you can tell me what orders are going to be over the next couple of quarters, and I'll be able to tell you when we're going to crossover. It's very complicated.

  • MARK FISCHER

  • Okay, great. Thank you.

  • JOHN H. KISPERT

  • I'll take one more question.

  • Operator

  • Thank you sir. Our last question comes from Nikolay Tishchenko with ABN Amro. Please go ahead with your question.

  • NIKOLAY TISHCHENKO

  • Good afternoon. Actually it's 3 very short questions. First, what was the share of non-copper, non-300mm bookings? The second one, could you explain your low tax rate in this quarter on shipment basis? And the third, were there any push-outs or consolations in 300mm segment?

  • JOHN H. KISPERT

  • Well, I'll take the first 2, Nick. I can't answer the question the way you asked it. So let me just tell you what I know. Copper was about 35% of our orders on the quarter and 300mm about 40% of our orders on the quarter. So that's the answer to the first question. The second question on the tax rate, it turned out this quarter was about, I think, it's 22.5%. It's below our historical average of 28%. It's because we released $4 million in tax reserves that were based on results from various domestic and foreign tax audits that we completed during the quarter. So a reduction in our reserve balance seemed prudent based on the past exposures going away. So we released 4 million. I think going forward our tax rate for everybody out there, we're going to keep it at 28%, but as we get through this quarter, we're look at it long and hard, and potentially, it'll be going down through next year. What was your last question, Nick?

  • NIKOLAY TISHCHENKO

  • Are there any cancellations or push-outs in 300mm?

  • JOHN H. KISPERT

  • Gary, do you know of cancellations or push-outs of 300mm. Nick, I don't know of any. If there were any, they were small churn kind of items where something might have got cancelled and pushed out and replaced with a different kind of 300mm order.

  • NIKOLAY TISHCHENKO

  • Thank you very much. Thank you.

  • JOHN H. KISPERT

  • Thank you Nick. Okay, well, thank you very much everybody for participating in the conference call today. We look forward to speaking with you next quarter.

  • Operator

  • Ladies and gentlemen that does conclude your conference call for today. We thank you for your participation and ask that you please disconnect your line.